From f730f2a5e0d9696b0d08325eb4ca1049ffc0ebb3 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Sat, 22 Sep 2018 21:32:10 +0200 Subject: [PATCH] Update --- Sega - SG1000/Snapshot/sg1000.qar | Bin 0 -> 66224 bytes Sega - SG1000/Snapshot/sg1000.rbf | Bin 0 -> 243918 bytes Sega - SG1000/Snapshot/sg1000_mist.rbf | Bin 718569 -> 0 bytes Sega - SG1000/rtl/SG1000_MiST.sv | 158 ++ Sega - SG1000/rtl/build_id.tcl | 35 + Sega - SG1000/rtl/build_id.v | 2 + Sega - SG1000/rtl/dac.vhd | 71 + Sega - SG1000/rtl/hq2x.sv | 454 ++++ Sega - SG1000/rtl/mist_io.v | 496 ++++ Sega - SG1000/rtl/osd.v | 179 ++ Sega - SG1000/rtl/pll.v | 357 +++ Sega - SG1000/rtl/roms/32.hex | 2049 +++++++++++++++++ Sega - SG1000/rtl/roms/BombJack(32).bin | Bin 0 -> 32768 bytes Sega - SG1000/rtl/roms/BombJack(32).hex | 2049 +++++++++++++++++ Sega - SG1000/rtl/roms/CastleThe(32).bin | Bin 0 -> 32768 bytes .../rtl/roms/Space Invaders (16).bin | Bin 0 -> 16384 bytes .../rtl/roms/Space Invaders (16).hex | 1025 +++++++++ Sega - SG1000/rtl/roms/swap.exe | Bin 0 -> 57344 bytes Sega - SG1000/rtl/scandoubler.v | 183 ++ Sega - SG1000/rtl/sg1000_top.sv | 71 +- Sega - SG1000/rtl/vga_video.vhd | 93 + Sega - SG1000/rtl/video_mixer.sv | 242 ++ Sega - SG1000/sg1000.qsf | 123 +- Sega - SG1000/sg1000.qws | Bin 0 -> 3630 bytes Sega - SG1000/sg1000.srf | 7 + Sega - SG1000/sgcon.png | Bin 0 -> 7421 bytes 26 files changed, 7554 insertions(+), 40 deletions(-) create mode 100644 Sega - SG1000/Snapshot/sg1000.qar create mode 100644 Sega - SG1000/Snapshot/sg1000.rbf delete mode 100644 Sega - SG1000/Snapshot/sg1000_mist.rbf create mode 100644 Sega - SG1000/rtl/SG1000_MiST.sv create mode 100644 Sega - SG1000/rtl/build_id.tcl create mode 100644 Sega - SG1000/rtl/build_id.v create mode 100644 Sega - SG1000/rtl/dac.vhd create mode 100644 Sega - SG1000/rtl/hq2x.sv create mode 100644 Sega - SG1000/rtl/mist_io.v create mode 100644 Sega - SG1000/rtl/osd.v create mode 100644 Sega - SG1000/rtl/pll.v create mode 100644 Sega - SG1000/rtl/roms/32.hex create mode 100644 Sega - SG1000/rtl/roms/BombJack(32).bin create mode 100644 Sega - SG1000/rtl/roms/BombJack(32).hex create mode 100644 Sega - SG1000/rtl/roms/CastleThe(32).bin create mode 100644 Sega - SG1000/rtl/roms/Space Invaders (16).bin create mode 100644 Sega - SG1000/rtl/roms/Space Invaders (16).hex create mode 100644 Sega - SG1000/rtl/roms/swap.exe create mode 100644 Sega - SG1000/rtl/scandoubler.v create mode 100644 Sega - SG1000/rtl/vga_video.vhd create mode 100644 Sega - SG1000/rtl/video_mixer.sv create mode 100644 Sega - SG1000/sg1000.qws create mode 100644 Sega - SG1000/sg1000.srf create mode 100644 Sega - SG1000/sgcon.png diff --git a/Sega - SG1000/Snapshot/sg1000.qar b/Sega - SG1000/Snapshot/sg1000.qar new file mode 100644 index 0000000000000000000000000000000000000000..7ceb305b9e894e6755c81a1cf47f9da931805355 GIT binary patch literal 66224 zcmY(qQ*bW8(ls30wr%cU$F^-JPi)(^ZQHhu9ox43pL5=eul}j(o4J_ks#(*kd-b#f z2q{n)(0`U6GZ4Vm*wNI+)YjS1THnNo(b&$$9$;;1qHk~L=nQZM*x5QUI+-&wF)=Zi z80iCS&FtK>*<%D@2AR;nUjw%GbMj|?p%*c>sGvHPNNlAonxIx_03-yvP_7(I-5zOI zMw8Nhj}Fjt*xJ=1ol5A2X+vy*?7}vd2bt&q4Z>Z+JI=0sgh1Z?_%c9_)P2|wXWWl+ zrd9(BJ%?1J&lAJ<7CVo(;z~)PR{*vN_hJq}kq?KuH=Ir>P#cq*=uC{)fDF)qJ z5H7&O=G+b24I}fYtNa1B)2F?9v&3ZbN^IP%TGpY^BL9Bko7iL=uXC~5$6v*Kt{K58* zdjjLLTb66Lj3v_P<*N@|{3i_Fnxm+lxd9WgGVywknvJuS%9hHB^fovoP7K{bb;f4n zJnnslJK?1Ogud?n7t}FP7utL8FwfbER=ui^0-Fz9R+d#qfH8B}e#-@GwMrW0#C|n^c09CgzLB(S^kB z&%v66Voc7PpR;;hv#lK8OhhJpN^Wk{bV4`jpKvHG6qAy@NfHa#U37Pgtd4<)nLXw` z!vJ-R4^Up5bvhpT_zX_H^e&t~a&r+DTIP*5mw`KPdgEzQFj*Z~VqLIj1 zPutAFtFFFrdBw>*1u@HOBjoWXY>S3$r5kw`Yy8$`NbcN<57*pbtPSTPy~MGdvI?}- z0zgvtzqm2nX^!8hhQ!Ic^Y*_{e637^k(|u3Sr4=KxemPoV9f}o2-k{#CKlP&4w_{8 zx?Vzj;}{qfv+_Yj{5<$MOvTu;ZcolG+Ilf}=w&=T)@fA041H1R+){S7BC{T>yTg_GLM> zn_dRlbq~327JSPCwK9Ky-yI;(I?uZkl*fCc3l>)ePh#u`tt#tI0mz@$eXci+PQ?*Z z#qwdt{c(7No8aB|g2?h}Z`%hS?$R394zpB9gj;)UuFPeFx@H0m1c}a8c*p%GE+nI8 z3wr&FqC#QafJY zf+k_*l4FkbcIFLihv$CjHfJ7jR;q-2+WZbbXVDcDmR35(K7mseOw4jsUdH*`!@k#J#Bpy`R+$oTVti;IzM8}E7 zSi|fLxm74_`W$Ikjf%cD!k$n`cr`H=zx8%ncL`&Cwo2rzC4RBsRTxQ3$jnCrH-U7G z1n|li_qp~ zKb3D@?N^?(9R)kbdw|x66bZmnS7pcGMw;Sm@VJ@^q>Z}|jmPo9Lz($Sw&F61Rg`6! z6{^*r2PV)qHUsmQmS~ps6|~fq+i{hSJ8FEY4^-@8U4wrJ2wr9$N?iL5+FvqYZZ`<+ zoOVOt3J{KK_?ceB*&z5w$2Y&jm4*MF6NmUmEKb250Ly0o!BiXB8PxaZdk|&!7HFdc z-VYCUK|Z2_19>JyPx!L{D^qKbZbBN*JqZB{)He4NKg?CKOWwUhbhJ zGDLX=$R!XH_X1k`w8tWqfX1}Ah7*(^3<2GRlnysfKoUnhWf5)J`nAjxCQX!F{T_6p zP`p~_7A-a}2qA7k=Ol0bD`(zKht?%v#;KRa`a1j}Uz44t$-eyMR z?j8i9r%P7h3yhn#R_vw`gJAa?dVzqHLXyHk6m47 zLnFnbzj~32_kja5YFKmuwEgB$Vh+%+`T`ea_nB$rbiu{z?XjR`49iGL6o|I-J`%t2 z2Oa;w?`#;HL#$)Y<0`tod9}*L63LK4DveuMuQsn`$uE6ihl}0Wwz~ZVhOswO&+;fr zW|XBAO#o=RdNS?FtfLB=hWgpGL{g)HAm}&=f_dNrmELFo2YDKhIA9AbC}agiZZ#d~SoKAGGqo(=5tt5NrGJR~280E=!8;qPP>dlg;{}sO zD;b>|wK{6lAuAqEN%SG)qcws|YJqxe6!BDw?R0y))}^1OTI~SfDXzHnzp|uE!abVO@x>55CyptzAGrTK5@{ubUgb%LXwxUOKme<7Q-GR}u1McHAYp9%@$%|>G3?W5JoVs_5gHTxR8 zoPn+-RYG(<%e+%IuSGT$67`6HCKK0snh9>ov(BB8)o<;p0G|t@%eq1&lr#?Gryf(EqYX%l(H~&((r)n-(EkQj`2Rzty`!Pc|KT!4ZPPYO0Ldq`PUD>% zkStbOSxI9{V<@bn0!h~&^VMu*m{e%gk7e1RzuU8Sd=r&-m+fR0YWi};5M5ETVTEKA zP5Cgnu)Z|JfM}519mc1U%$P^mO_e$RPH+bFj_T=|=#c?o)E=n3 zxR8K8NJsMAIU<_9;d|yMxS4KzY}xB=-hc~+y8Yc4C1sM? zYsb;ia59GQJ7-;O9H=?_EdpV?Ty~~sD&-1B(`9@v8vb3Xfu^ZWN;bSgpKIQz8C5aw zysCV`j`h+imi8>#f)Z<6z%iae)u4TS=+w@AFoORq?5wyyCmW)vdbtYQM;V!1326Eg z@T?P4OUE_3rGjaoPSlRb%0n^2U{g!3%8-_r$JV5mnkxB%vaR1Ge3g_stOoK7Fj1y! zxN0?=t!n6R2qS?T;-q)%ZKcF6bBxD#Vvv*sm_i>~mbD-og3Z{+9s)r!_4^4E4sqC_ ziiJ^7N^vmp7t@>SSieYOByTRMOkk>F!UMJVlaY_6gh|42NX}2XK0(dJHNJRpF#U^T zro;)=s$^R~gu|ewGwNh0zzq4dpFc85Zx>cjs0#d~n`XYmDV1D#XDTbNnPeZcsh(lp z(PK3n=3Ve*;66&99*-{-`MWVKf50P6@&E#wq_@txi71YG(ICWEai~|$Rw|gX2&*bS z(Bi817p6AVRCL<`KVK zW~Y8c1;SgkvK#GVf{-n@bFqS2~p@BA;;493b;8A~T2lh$ZPZCd3aoWs22 z?1D8Tu?x#GeA{+Sy$(z`|7%d~)H9?K$7nwjTzz{61%*$+TVhpuX3PHBn-AJlJt!iW9C7 z38e_{((q$PAE~gm$fqw-GcN64dB5)0){8mdA+Bfwq?jYpgxc1Zsc^y#nG{S`-2|sc z7c7ukZn3?2f1F2W18bp-7pm%MiP!c=$_VA>;xzrx8jj@Ze@r+{grO@WFy6j-_{rJ0 za>I%oc;YlsT87-$f7qqq@6@juB|nq+W}5z`mSc_h!F{O#B)~ZLs_j!ozd$a|reG z*65O&d--j2^OB|20JKnJNx|6s+xv5_l2dj#!COwYn*Xd`JrjCFNH1I{e9Ez8QOY|2 zB{YcA5d=l5B5?_1N;KGtbveyxwBhwCJ7H>}q)@0AL`n1wpf5?-5R1_M+n#VFhKWJz z95?hT&4-*=!B@a4ielTHqK=LK9>-#g3q^0Y2~KP34bQd;VOexLIzt3v2xOfL@O!oj)@T0OS`FnUseCaQ`_pT@Ia< zubZ<(5kFsNpX1VdRk->KJ9wtOuiuchlbGL8qEBNSHx9e4l$b6*ox`1z7_UYLyX~jM z6O$y#O;b50z+5MFTS}f&(aoHzRujoX8%9}C_+d#)V^GJ&V6)_D)%r$Iw#>C5$fg^n z3`g(B_QJP2S|rj#<|4+IiVCVPE`B+TsrHIu9NxJ9oic0#Yxt-?|PlA(6RU156?rn3AyN(NL; z$>OnwTgAUA>4}8-pQOk~x9+dt=eao?;!fQ&WtNDH6pz{*^uk={t_i6|p*joqW_^1x zp&v0p_~j`hQdk%)NCh4P{cQbgLLbAv;J9IdLBu%*gx{NLHKMpTCZL>xJL=W~!u-{* zd*#E63suh?^~(wBrVJw_iu&O2++9@V@vU#)Pd=>KiYO1r3pFGOQ3jx?mH<%dXLk-}8e9&lbTwTCRPLXs{T0 z(*3T-siMQBs>`COq7}=Q`!hw6ACoKjK_a-sd|tR8TF>&|1_#%c(jJLsLu(2}B!sUq zDt}NI*V#TqGbXb`#pL0C3sH|&zx56sp=Zoz5Y|a5uM4|7uqHw4gtIhPf4fI;AG;+}UiLK;YXlPHtI$1}+=3$Q+H5BO1v%NKlTs6 z#mfg}ZPd&_%mMIS9Bt#tC?*Wl3^IoR?A+tOOflv^%>btk#}2SfFss_6KFGl3Lf={U z^;D6}fC2LBx7jU9xiULvE;7w5=VeN`T#7SjtUh8KQ#YpUfzNPhuOW*4Q4hOLrcV87 zROE*gL}rLpu*%A^@Sko+IR6%n=L68dXA1Y`$A={BiAg*7p}X4mEN?z1>iHh?aXp?F z(z969Cw1aohKZmGq-ccv+;(5^24Cm}_9g;LTrQ@%lI4xwl%`SrRqn7f+~8Nh=>it4 z-o#(!Cov ze5v-`p>z@K42I*WL(~*)0gv}5&PBGSS1i-oTqi1!Z_l@2z$WbpaO0i*t z19nI1NE+=Y`&lBA2u|?u-X!<(^T7k-T1yp9FUDSY%=|th&zCzkV?&;w!~&8%;niwR zS#tZ@;Tz`c(OY69{6#L7Uc$K9i7CYtfk0Ciu@P#nQ5E%pS&+~2I)N>m8*iJ6_~1s5Bf zLsT5(qeE;1+3gDUF07o|PS=8k&ZXL_LS8mWVRfz|YP(dnMrWHN{wYmkFYKMfCC`u( zUz@xZNr;-wP&IQ^ZEo)cZY$0>MPE-Qy;(x*?Fimx&r~vz47xsF%*Lkwv{)!3T&|%v zahch@SI%8Yv!k;h9Tk}tSryTP$DGZ}+_yYz_Me^1^vDpa>p9PH{(yX+{f>W&d=6E!|>oO_iGFI@U?nc+i<}!Vx+V9&DdE`lSZ%ko@Y8bJ z{(1DmYN!R*oe2#Dsv{djO#(U|qI5NmgJPid@FD#&5&y&n zVbZUC$2inC!uE|p*5$$WQ6|S=z=Dy>a2(%fyPn7=qzj3~5bF2}%(`(#kcj*G-|0-F zi2If&cG)Sh5oreTOph-8YnYKUDd}9oYeQY*6v_(84+GbwwS#nA>(HC*M1Aj0n<17| zh-Xfx2(hP04(m&x>r1e&iH2ln!sI8qpUi_j*ErLtG**k>xsAs);7TEpQ|CJiRyndn z>zydp5u{H^YaLK;9(c5gw|cb6&$S&=-KHwD;(4b=i|c)$H5&wLaOqP8C)$tCyqHM% z0Jdvo3BvI(et7BF;6uZ|J$0vAzCV_|_LZa%q;Y9^f+!yQT$#0-=Wh39Dui%^OKPkZ z`u9cL_V&h_o za5dGPDi6~2^D7`NCJ`c%u8U78Fj?~5pY`n2S8_vEiBT_%hZaQ4Ev?`8YI{j$NU>?H zeT!C4Qzoh|67ebbRF*EFhNM5P4TxIZezQJiXi;?`YD%eu*S_EQ=P*wr<78z*n4M3& zuUd+2)Z3P|(KnrZ_1zsQlM7U(gH=#{&uXyJpBBrO6slEi*k%pP;%io5Pot+dpm(S|HqloU;RV=q$l*!B(=J`6m>Unbx{t>metdiTfQ&6u!r(5 z)pi-VE@%%<*&sdXN4&(f*JlVf=A}wo>yZ;~ zP<&Rf<0=Rz05hT-xhJT%6n@n@$dxvjQPZ@k3BR^UQ}T~ED;PT_?bFj_Mgqd{>VuK# z0@EBD+;cOZ*Vx;pUMX1%-JwF&2{g?-iWzziRz~Z`vUH49IqXmZWBY5|h(nHjHQ^NCsCWSVeS{JNmec zF8TPhQC*g_-oyGyM8=?U2)mxnL-LJdFw)>8sNn`Bcc5;|!>ArsdpI@!{xqpcX450b{wuL--p+mDOUWWsBm+LfuI zOT4__nZDC9S~Zqcq8Ngcqk>!AVTgxWGKazST;zFy`|ugVwg;rnvull;mL3iASxua| zAc$#TSQ@&S_( zpcrJ12W_HFl9!-!2*AJ^%|iuJ+JZ?!qWdKOj=nd=EkFd7gG{|j63hL_cyEEKWLS0r zMSPL#I5NZfE!yeGkjjJTlo`oY6Gs0@{6iE}3mZuUJoDL=d|pN?-YF(sz{ zxIE?RDkUa|zNi263A4aCFM4s{2n(D)%Xn%#@}@tO#QxIy^EqU?l+Y|B z2d4QDF;`zV1?ADj(TAD+$NS*~d&h^jj|&%%6$(r7IEE-lT)D?2J$U64wNnt2>YyL+ zVQIhaSyG3W{J=N?{7nEyn){xhJT6j1yCL38d%8c|hBn1Lodah7mVs1ZI=cjn%uC5! z-Rk8DomN3vtB@d>O-};cx4dtqQu`dyn+bbPq4enRGf!rz$s0m9*L{{rzg|f&IBe%3 zIWQ~_lFd0q^@QyH2O6&z_)WYmiCyk6a*TQfQloG;)5}dbA>$U2RM(vcha3mBEi_9v zPIOq=uKN>_e+UMR;Z;T%Kk zCVXN{#Kf3fmu}rkUHSqh2X z5I4p$Y!@2l8NY0^clivyVfK?L7l?}(S3~oi!&;qVKtRa9N}kY{yoGC6+yIh|y#NlY zienk)Bu*t<7QdP<#~^bU*3t31LXz7{pd~uc8M;^jELTr2J8m~;E4vw2`xN0XP!Lig zD9P3K@e1G;qP;LXbsn3z2=w17BeMqo-OcFrXpzAfL|nEH?3Gykt+@w@c71j>5UDL! zcm`eUqLK!T_Dt3ikHS}ReEb&{2(s)bQkCvo5t?07HeFIShFDi1pVPXOCVCKvJ96;| zif-u-RzQg-gvP~Oj}mm?h;=tmSPdrQ8pZ}2#HC-WfNHn>Q)ra^(Or7oTb%@>_>!UF+?f6i#kVT7VAG1O zq8=IG_*j&a*R$s@MBXXV5&2BpSCQ)@EfKHeZ+d7`3X!*-xHT=e-hh&CMyg)+SfkAxP<=ORNbKj)>2Q&uv@ zEq{!Qi|A6PbU?GMyabvwE1(v^h6Qtcv%I;1ewgo|uTS5%`IkAt9h50UHG; zzCWbg<322>oGDGWAExv#whUz!EG80>P6KYwY>g^c5eTi` zAhwHmw0vM(TyBT(^M)E+$Ldx+!-8di*jDO5&r3Qbagh<(4zgyTf&t;Ub;G&>W|V6!xo1#lU^XAXP>)%#+llT{qA z>ghNesaB9w(6*}Ddy#L*3t{n_q)`?ujWc{BS>W%tKgk}MBw5O27 z{8)x6^(M?pEHye|X69f);PWcpe@U>uJ{dI@mh!*fyw={tej_Aw|4A!!?uj^7d|5mE zvJPRk2*5syV%N$mWCS%rPO?zB&44A~#u^r@x|dhabgEE?rD8s$obz{s_pICQ#NfqQoFEMGVUAIii?cM^dJ z&~!*qkf+cD)%eO}W|j{wiV=~=iZ*`Y+IN7Nnk1k>ew4+HzgMZq>LV29@M{n5~#vq1sifxL{+lKH58V>So|wfs@3-tL6=z6xUjU| z#7|SZy`e4r0Il@Toy#NXwu61lCvx#WB8$h(A3kLaj{#_;o9I&BKSe?Ow*wOEM2u9` zf>F49P?r*ouF)qW6U+gQlIqvp2V+E5F;C&V#=Bc1l{Wov->SX_n2Y0?iPhT7yvUF3 zP!AFt8?<9b%U{*k&a)ekWiITJXiPrA*96%w_1>BkiWlUT1vSM8a&sG}0=3b}#z~3a z3$9uM=C|EG_6oBr0r|&9peBtY!Xg+{CatLmL_QC@q0%(q>as15{lR+aPJ?!jf`XG! z`S`{OFc6l;8AaR^pJ_!bH4%`OZ{KcKCx*JRGZdQN;`%pN*}@r-YOz(MeGh+f3Jr5- z`z!ee9z*YOSfO!-0siEt2I{IW?w=0M)vnQ`833dP=%RJ!!6WF{(_(xuY-ty|eW$YrE7L-TY|RfE56%DpHmr-_csSuT;^Gja@N zf&e^|e5=x_XclPZ#5hLBVvSm=H#y=@RdY^`G&_D;BW7$U*tkI&3|U5Gtif+Z;=?9M zqr-DYV{w!v>Jn0}-@5TSHNjr6A}Ff4_1+O7&AIlMXHAMM?JAH=Formt4{XYL8TK|M znSpe%H-+vw4+l_Lwvvd2;8zYj2?|{}2J_5|!4vb)Xm1V)aNyLaueKJ=M2V10Elhf= zE%xH@QSZr~2AAeevq6bA9*B(gxzQjl@YCA;kO~=FvxUaUZm0 zi@Ep+v-M;B@V4Lz&eu}PWFE9yC^rccbJUMN33&?g1W$v*ESDpBTSvJ|7#P%9 z`PI|fG#oC`Y0(ejO-l`>{IA(|TFmTt8VwkDH=tn9~_PYq{1aA@~6R6ThA(+73X z&o|lkd?YGtd}cRq3}Y5QPq~9*VhaPR5UiK#|Fgc|II|Dy#Ch7m$a#Wey+A_ykINhlR}TmxXBn zJ;jH1d`@U?I~rGywpW5P$1|eER8^oFR!HPBWoG7*kiHzkdBh42z0ZCp{IOCBxcmb< z$E2wOhP-Riy;OkgMO)=rRp?>(XaM0sM9LsfaMwvHk$`NALzc;&mQj}uq6v0rz1SC#>@bPMUw4jr}-6)jDDc6JKAL!Rb)`~zYJN<4*t{>An{;|gH5F#P;0Q_DA(OJSquep+JZU$p#-+>qSKl;F z<>wZgcC0d^=|L&kgg$E_P}lvWQl<5X4tIH4>(5a{cZ&YPw2sGR57lUfxmn%8A5Iny zEk*RXIl~ch6^y1;d(Ra9yiu4@)rjh|F7l$1iE7R!vK$}b)%Tl+vZ%N;%3BD`+rENN zHm|9h53HeQ> ziq~W0m?VgYxScGH`@@8#6Lru9z$9dN7Zl>fupMrG&KCO;OXFXShAx*kf!Bc{>1ooZ z`~U`9{{ac?i{3}HKyb_UxvyHcPK?&bTcWXgE5VeSH{4egeK(H0A|83cMExp^3{;-S z7}a$8RI8c79;&(cI#AN>zg@lNp`NI~7-7R-|0N2k3WsW=DO*?p zRt-}?_h237cr@zlk?gQw z2Y}T@WVFP^?K!*=jqvMMm^lj|8|Dm-?a1A71ZHa7spze!yV-G&7lS%ghfFQx4z|=W z{BfaPd!ZLGFZ)To9C15+$%GnGkzj2q%cF!s_Q?Xh?hxaQF}}@~-6hDT4EcEeSmu|bl)7FZ zd8ItoY9>RxjgN^k{OY965~}Xz!GA?C0^Rd>QEr$Y^srbsFcMT*$C6P74Vy^tZcuZR z<}IJ-td2!y6AN(9-%9=D?fAU0ba#XZwO&}~Zd6bDcv$=#9`8?3ZAuXF*=_dsxdW7x zVDjo9+te*{SK8$DUMg|^Q(z5MZK@P4`^FHR#h(7Y3gGL7RrRk64TDgQIu{3zOe%-W=e#f~IQ1V( z1a}(Jn|q5TV-AWv*lLtynj$wNM2ScxzgZmOtho2+iPRZd1}gLEx2Rr*qQz&bwxNrsTO!lQ z{E}llObg#+8`*~pP*&U6R?nYR##chkp*7av+7#ds5*ia=Uz<&;|RG%Ya=7R@sakfwR#7 zZqoxcGRL!c!k6lZ`07J?)!$G&0XH%Vi9HdXokFl8DaZFqnj}V&A9yDWI~Vn8>H?P# z?7o8NhccS$NEEIS4XeevIZWTV4f|-O?|irn<9FtybP%m=3jmmWZ7J@}NUvHkx?K!` zvy;v-KE_Dp{+clTdO<3dVivswkl%szO8YEEZ=q^yLvr`3s-q9JQhGo=B#Y~096t~Wl zdQm>9S_6TU;dP+ON*F(g#o?|U9%idBLMyTIEKRA0RzH|4v#&~u2lcwF>z{nYz4AkS z-VKbe)DuPGBthZ>LE@JDM3HZ2)!Rfmr~6juz4C-e#m6Doe_3-;m(Jh zG1%-)I*Tp}1M)0i!+V(FrK(wA1kd9krC`Rxfs4xO)R2(p=p|n8vY*w?NFy0bU)>Tq zBi+)>Ng!s4Mii;l^*Q|7%+w>eM`Rgqa8@qWk*V1dKB{7?V-pYlUX2FDQjCKx1U3}bxrT-df~(FZuWhMp`aGwIR~^2lMFvHy@tP^zEZ zR-SW}zQ4ZS&d$!q*q+y3V7I!2K;&AwsJ2NnY+c^?H}gFm$BJZrxVl+fs8gjMPp!lC z_=#)vwZ4&n^|)gY)2557Ls|S~Y-Ut*^1c^-xsTN0;^HcR*>fv4MVeD@@|VcG=Y(Hm z-=DUOr-J`_f7(3zio?(k?(brUfcmL88+Zfv{t;$I^2B_GQ@+iwjiK8V_FTOyXR`g- zTrqp^CUr4!?uj%D9oG>srS8SA8povmo*(SdC38hfP;HOu}C2P7un@KF= z6v=X!Ln?*BKutcHQ;cjh$?Ssw1lubI^fMmv2wUzt%(F;5f#vYc{x0Gc7X90wB5m;oCJRI*WFgMk>q zzY?!nM z2zU&ce(o#;YWxtmnV=C%oZxpF@YUE=+@Sg(|8O>BR14OZKfx09AU=z4h&(tBsb%-> zDU^T}!SM`HVCO6`<@yGDF6_R=t(pvx!*d$)pL7uqq`AgfGsk@E9*NX-Zeb4`Iu@vy zarx4Cr=Og$M=DrQgTw_EVe_L^J*ep%4;D?sw0^XoyPs|7mutTgWq0(&JeKzg?=R?A zxHRHYd89(4c~_w!yv)H3?T!z%0wQI!QBwAMfa1Sdta4f0Z5!;N*lxv3Y~C2$>voOZ>~ zg%1?4pRLY=X0RVoe96_)gET^lN7KfB!yriHUhZH#pS49F9(o3q%n}<`5sxpFkS4O= zDO1{`NGOTp+cA_WCIpITG&YeaAfLC#r92|4-)BrO(^L~p)jvVlxO}UUNh55&TJ)4i z=`GUz@XEqQ5*AU@tzWsQLzeI=HBp^fOAg&cIpZ=*cn{*7v6K#z^D<+cEljtD4=i?v z_K9krBM8wNr*vhgg`y>G$E;+5Oq%pW4kin5W&8M+C>7Arw9;XljXYX6MqsbxbuLpx zd^*jP0c5kTQXPc%bi)^5OizAaamQhq&2F>_R2W>8lFLZvWFZ2@c}6P)T&q# zsJJkUhKb!G)FvHe^3vv_-Xc&(Mv8ETw6|4|G`iGJ~SErYzV2}5IUC!2b zb8gT^mDlrz8Slv+3^m^(ljp*~)ZaG{SNGwT^rRrk4EN^_kF8}T=Tblm$-us@xN=W4 zGy#33EOn(UZKaN~%I{0SioUYwda~%YvMHIR`UnyaG%$7fQUg{rGxcw zqYGiN_gxyTU=w$K=~FM6Xkt}?H#u*uthXftg1MDqQYLJ9+99TwCl2k|Z*wA|#nm{z zZG-pNs@LeTIwCKiHfSGDhyP4aMO&0y3v&q$({El^oe(&D#TrWqzUO{kb%GtlUVHw` z;~+fU2%_n>y#YJRCIurmVg=HL3WXarp!L_oQu9aCMSLBw1xo|PwTIV5nkEw}&^3Yh zw@KF%rPG-OVl_I#8bzw=0*R`7`}ViuAO-D731Sh~VplK?6SsdLiA2DkwR$24%})jHI9 zexNI{&$X`>=sdM(>vjo)&aqeH>R1zu>Qu4coxt%+;(%e0+Q{ma)r+#yuH9u!J=Q|7{S5FH&wivf9~>J zoxhub-ulMCeh~0l4J8k~xOfdM{R9;c`?2kp{J{7;#`@R(>cwPdqb{^kU)L2H+N;dx zRCFXpB?`v=B-Z<9QAT$|%Y}OXOQ5%X!I8Fk5vbc!mn{+ls&M9*d z=Uo{#bFrE+n=UREU=gZ5LHsiR;GE{=dlr`u5`588xeYCMj=QvSb5~rb`|)N*I4!r_ zi7fGno9HtIQHSEwRRvoL0a9b3KMSVW`R4fk{s1*p!+rNz$*v-62GuT0`7TokEa15d zlro1$%MdjhMJ_k9+S;F}S-jM?J(W1T$q$N2;F0Q&GoLB22AXR-aIlBT)m8Qa@6=3g zAm1O0l9Ci2YUDzL&sqX?KtdiK(g4ur_z_U1U*Nm#0>b2W?gH-c%Y2cIE z>uimZhL7+%l8)X2D!MZcEq!fe;!=MzlrbgoI|ySgnP}LE$c5v`@S5iCE+XK}gv5S} z=L|u9}E{i>i%jZtykJT`72_>9ccB$S1se&>9$l1Hhw0bg)W!>0Xv4w)e zMA&YpKMhB-+Lbd|bf9YiLS7(qZ>+agw}1y}MY2W=e>?jF*k$#vcdAk$PPi+pUPNO? z!H!G{pzY7r-#A25xza%^wcGy2^W?nz3s^<-+~)WQUFlcq^yj!-K6fD|!gao=xPgzJ zs=2UiWktE!VE?H6`!Ip6TjE^OHW1rCm2Hx4S`v^am@~FSx)xBWIg^M)&6Z_05McY_ zjWcC(8!}h-=G8j_+4&b{yGUScdHLk;G(4VK+(ri|f4yh6hnw>% z7e0csFRbniVKkjLg~cnr;;m<>D@P?pt@xoqR06I6ny<{YPI2$VX&6WBTf*`72V(6- zzs3hg=kec{{!8q*t)CIfDWO?9IwA81>>%7CqKJ9OzQ}{xQqtp&MGd$i@7~isA-<#X zUeb3y(7zn7eKUXc{hsD~AkZ}SR-@#^Kd!0NsS0LZ8A^TPY#ZPn3`2P-N$G+d(+%fx z(+#QkKpWmmaWmm3VwQQmdEh{e1fM}-O9+>T(OA5V4FswElPovzLRo%(Gxj+_65Rrh zNQq1_CDx$_!))KezhL%z66D*Ip6u9(=I}29^N$@jz#x1@c$e|Q4+h^Gk!`bOv-ZB+ z6OQ-NDdz9ri00X9VGW+^G`q2nKEx*s)UA8`Ui~!3@6a&+H(P}GKjq5a$(->&_J7kw z$Lg|n|Cui04NCs4e<&E34C_XsY}8e+M5&5Q{-c!HDlTFmtVV7yu%YQH zCiM(`+uim^!1`BUm{5t)hkUha+0xLKg`!TbZ&$yAqfNh-QCDhiPL6+fi)z4*zqj^= z2rQq+s8PfIUTQ^C!rct#k_>(Lp=ftB`z}4+vMmIFHCp$VV+EpC=Z9kNM@M&OcL(`0 z5Ntx44uu6<+P)F_GG_b)jDhVA05AUJ?qERRKMlklXu$ZK*KW|eRvcYSLNZ}ovBSnf zFsdga(1&|RkBAk%G2A{0;$qCApSU3MBLNZJW^iPBzB|R#w;)+*!y;G%?E|oUE)ZxSxc`dU!@&PPOnqw>c*v+LQcZ=*u z8adxI(+1B&>{K)~&69+3&I6~D1|ky%>3*2OWLe(i!LfoTKc3_J5MEoC*j?l`JgQNBV5OK?}I^y9eacMgAY9KW}?z#S8ZtUrE z_X@=Dj>kx3>R(BYSR!rrNuw^K#e6O&Q+d?vZj8oh%C2(uLQvJC7)b}W0U%D52X|L^ za1D5&+PxGmUyxu42GT(mj)=k{zK5+lqFzZ|Ofwj)$`%mPtNxU^h;y8FjafneK{su- z;BJJ*`5V8ecKFA-KI52O!ni%LvlWu;B{=`c4_F z_6I<^^~vlS@P7tz)c?C%^zG~|olXDSGrlRCb~y|PVRbXgG3^opR>iK1YF4c(l}ak2 z8~=Ld1+BqAgL+wSJvpvn_^p0rMMM}SbaGQzb&Hj}mSWQ5`jwr*Nw zlB-+2GQtn%vELSmP^U zlc8FpmOUZlYosN|_@7{UNC?XU?aou8F|c+>aTg{0*5S${&|mNjijbpAzJ{4S=cV2& zN~!oF7srUjV$Jgd46g*&jJV4gl(aKd%i-b{MMBNmo6dIroF%;m-ALqTQcMj*dU+#X>KX*NyEpQ4S2hB^UU1 z2OVX`Z`ks~x!P;MJ_}8UZi{a@zQ0%-C<{MH(My6b8nym2+ihjd^!Q?R5T&}2y8o#J zl>c8PxY*nMpF&8qmmXq37}YP!Klls1Hi$zTwPyvIDGIhUk<&}7?R^=XAtZJBi6GO()_QYZ!L;60x*&Pq4Z6{M?SC?D@b zr3)QtFwG#@3!nIAz={*d!ljbUb~w9o1z(O)Y+w^rn@BpX4FYJaA4r1kw5T(Q(+ZY9 z#13Re@Tf+`Jk(au`8QH)q?{!HoMDl3);Oob4DPa?nW_!x0tsQ2ADZnB&~3gh>a2}x zSn`Z@-Z5E0ViE3k*2ia6wEk2S-w5iI=LHy5) zcQ!V(GqHCyvN3h~A5AP)-PV4S1Lg$m7wBoDNR|<0C_&kV z6HTsxc}gXCT-0sFj55V^symL)L9Vd!*ATYN=SAQ z>5C%3uImviOv?H!+KA>vnS;vliLRyCOiyO#ChrBzPJyx|OC>M7g2~{)6H!tkB`sj+ zPXdyuWCuzpPk<^PI0$HHOe!TbYhJpA8qk5wF{sR=1}U{$*q|rc6wsuK5M^{lAkY55 zShV&V1+yjutU5+c)Siwg6EjjsAf}`YmGKLc-hB$QkmI33zh+#XXvG5!NQHuazYrSO z*qwSy#3Ke!5s`Q=1*8w)dkh&^ga@crN_K~=2OWI2gRuf8ec}mo42|d*#$^%(hI9sY z=Cteh-&0Z_ESS&qHsLeft%0(gXV+UJ5BG>s)2w1Ox<9TZrOY++%wg&g)jEnOM+f;! zm+-zhOWyn(_%OE=8BGIs2TvzpvvhTZ;Oae>`+Gyzp0`-Fc6D`h_Hx+(>`IO54|g(+ z$Yd~&m{Up=wpprQ?h=iH0bF4!G$cv5k}U!rKt$C=yL*Y<5V_{aaHutm!A(T@C`M6l zV2~!Bm_dR$;+C4Ta9TCTPU|@)&XsFvt$H$-v`&K|I(a&H{gKN?pwLZ|MB~hmTBeJS z^+zWXindk#_&+jxQc2^y{5@|RSK;-EPu{2I#mP%mhWvLvb%L8Vrc#`uT8g<55?-*W zGYNSggs9iFPb=%&H2ni~rI*C+i?~fiz%u4ICr0DWi z6o$mgQAO2+?>-Nf4op}0&3yt5tPQ0ZJS7hQ!q%vD`lx8{s=j`?JnYu|-nvP+at5xTmk0u;kZYyziDhIz?&WNCw^Kq@kF_e>FV`5ZKBf)Xl4)+G!pv>_~ zV{(oDW(n)1bJ5`u%5I<$XPLw<2 zbzuO%-T+-C+vx6V&QhCTS2?X2c5x8+RrXof_U9$c8qAaTotjUTRGf#Y=RmMrYw@waC*SE2s(yAHfhGlEZ)w=OylO8i zaPvZCy{T@5zlK)H&h@h^kvBt??1rB?n_X(S%gBc5*-k+D=56L|w?Ajirb@%2I9klr ziwix=w-rxbaIdZhpxeGNAlmS>sQxIQ2hujsk_#FCLEhWDZ*^22OnM@}S5z{*}SgFU6(wv(ydpYMsb>ljZh zQU?x-l~LK(e4?lMa_M1nY@z0a9&Ijfj8R7Cc`VzOSB+H(tdWPtEKq56uoIGy+P0gmh=f!UGqv>lCHFRG zI26cR13~U9_txk2{bs9cTz{HGnymJagDCc-TL)_Jov3B+ybb(X8qp3@p;2bo!>!A7 zQxzCggy+p!V4D{>&pTQqsMVHuxcc%t%h{NwNuES=(EASY?fBt z0rmSrf+1k4GFjpU4rzSjMy&Tp&Q#i0{|4reV5Tg0XC7MVMvdxN>G2?H-z(Qrr_a*# z@d||?*O7CX@AT$myoB@K6hH!YED_*O_@PAq!iqq{1a(4<>l14EffWI#;@o*^M-0{z zk;KB-O2Ib(UI$h)3VhU3biv_h=0gn5EU#rw5xLdsUXc56Iq>QiV~f z^aM_vkn%V6-BQIVbbMEqp@@N=DvJ9)LZmVL^c2Z}Z7u)6#KOfr4c2aL_6kQ&(7yS+Rdd7|zzYsN0R_2^VNQ-b^xN@B@)?y^HFxq*r+p z>wC#hqJxbISh1+4kTYqob5dmhUv0__Q@)`(j(Af96mm-D2l?&^^!+rysa{}bJT~P| zjVoc^pN^m?4X!zc zEbe?PgwZ)i7K)J{yf-GJf0C5h%?=gdud%sSGR+WU*`^rwWFmO%@Zwm9TIMj46&yVV zkXTdb@H<7c*te-9(2Q@nm69&V7yL8bi{9Fd-ORVg5FGq^*rlDy9zcOESAX9{mga18 z-(l>1XX|6s%WUP#t<2{MXzCT05UEtY&D8e>p6yw+v-+iZZ+)kx3lYAjcuipHAc#k8OgfE=<7jh(pl2em+3G~?^P0aF81WNZ9R9BBq zWG+Ji*-iKl&^{bjp?aY~-shO*rBfwbLigDnt5JiWkBwQOj>lxTm{e808wMitiMVX6 z;|&zGGjm9jZ2fZ83S%vryJy?w+$=>n7j0~b`*=L_RXK4BiM!Yt zqDa7o@%KPfnFbCT0v-7oGGrKs>|t~zZ?De#D~47CoZa&{ediqZ<8q>m(UvCAA)DTB zT^4KqqH9ESEFWpWG($ZiV?Ri7ch>Hn1*qJe;PfZMOe2y7jWK$}z60edv(BW^_Jrg; zsz>O+Q^ocXxwl!%wvmYL^11?=)|nh@+$o_gNpn(7(?_X!4OgFR@_*bz|+zAts z7l&1B$pT%3?P+-rl$CO(lE!+byUTF(#@Vnd>Y9%t>M#0?K$H z_{v?R`6IGm?@1~|bb;>zAVdvWOr8D3$ga@yMEnBmuHyLM=9hnEiSf5DVF+d?-{w3q z7AMuTzg1mmv$LmcAeJnb7*_<&K$G)Hln|=`iXCJVe~WF&K?pMy?c*-Ci8sqq+to*Y zsuJX22Zr@&d@IBLfUDz2wI6ikB3`(J+;dd947q*;%xChlAcOi(gGfiu$o(t{!yX4o zhXbzBh$cpakRGtcf_fi#X5s4O+ax!kAHS_q!Dl!5jL_|-t17V{SCnm>&$KAmq0}?c zLZ_uqXwE|xONYB7IG4b+$x%vQgI*pALamUC+n%!&TXcH*LpHj}+>)W&$j*O4fMO{^ z#~WEz-=KsVRMGFYDMlZnJ_$r)M^E`EqZK7wcLv#ue_8s#nQP9OBgUShrkRxfTF$3VCPg z4Ar2`==X8U*z(df`)+E`@Q1NM%p{lN^$~tSw``o35n}z1==NWU+J%FGUWJ39vwh4 zRUlNPAGO-mrsJURajmhkTM!0KK40yb8+^8EKHK_3HEJ)|U!0~{6GrOOD=Vga`bpHP zU-yok+F$E;6}p7Oj~OS|T?Cqg_Z-A%R$!Tq51k!I8NHpE)$En4L$_d5c{F#MF1mG_ zfz_#NtA81!-?j~&6u-RM>F!rcPS5Rjjr2`3z`*g}xBeo0EQ>Fxp@ zy7mRU*^meHR$v}N(6Qi zhE|*ZqCq7V2{7Nb66A?v9g%>12rn}1NSHXc<=Ej*jhGR2T|saeL5`z(=h#fH*f8l^ z$12McsyCrF+I~BU9V7H*@T5^7K=y^&=?3;=iC&zx1dQh_IkkjQ^%0iElVZV*_n90Y zz#))kflJ)CZ>7XggH7MU4S&XH0fVd!dA(acf^zRLlsqeQifRWrF|#zHYApn9E_vCG z^K;EXsljv>S8>Q=^1we1*lUts*T29LD5t@Mv3tJk`wY*5_sC_T)7-cNY~UYjemb!L z^c(63ObBC<2Sk6e)AZn-SSr>F&NfCH(gXV`2;#kpYihA*uF89*=T`p~P6U8o!i!a> z>zWV~V8dqV)GjM0!FFB|%_KW}#BOTRV{a>@Zrd!6U34nwPlq}3O(sq0w;4faHU?H8d%Nj`kSj^Ke>eC0p-Bly&591eDK5t76#7WXU zJ^>x$x+KawuG5LFpE;#8!Uqe6H|zd+x7SQUGp}Z+kkC&T-3XBqV^oXR5zq_>JAE-t zEZj&?U&Sx+^y9=a4fX@>{D49M=87nE30L7cQ_dC?5B>ssFJdYO&$_zc0p)OU)n~C! z87q$iLdl4ckt#=h;$*^_`Zy2Qdm+3gr!)c|Kck{v1j|&;LzPIrpR6+~e@Due_XTad z7at1AUM{A&Y;1qj4jXhfY6O{=Agcx|82P8$HPed^Iq1n5Yz5+L)v{e3zWBusDaN0Y z^#kYyO;N449i#C;!t{}O7dagbk@uzB^ysE8Dh>gJ{`NaIK;n1px%AigYS#Lp-&hF| zqjm7g@HwxsgVTe|UN=RU9VrJ)m92NH0K2neX8+r7Q{tm-Q?hVYOa6winGXFf#_EehU(O%A58e*jhrGq8;cJjj*35bEx9bavX-Am%55G$3fu9r1 z*5iX?vVCQ4}4{cY(DD+um-PIwx8zXS>+t`C) zgC3m=D8B$GBWgxc&aqL@M6AW>{mtNQfx`oX=9cjNE3HI)V{ss{o^nJoEn5Y^`NM7M z&Bgk&qTAzZ7ucqNz3{jQU{Tu@v5Uf%T)^UeoJk{1H;b2wY6@P>W*G4!lm z?vj9bZJCQ7*N`OnKG{>1^8ue1M^-@SxkVOU?*0_G{GDoc_rv!GKQ>(Ph0P`Q1TL&` zP!m*Y0l>u3JqdqiuU#zoLLfN4fGy+J_fY_-+5G}HeY$#`?*cVq=a0lEPx#2ec>bnU zO;|DA=R{ z?M@v?RxNZcqa2PM5+b`|B2bLYh+|NWw#0HM2U}t}RKu~cZ-Rt&w!_+?6gI1uxznKFhK3VaYt1r>>TmRA)Ja80YII`eqr_wv_N@&bmRzamW%NT9Q-u< z6Ko7`m$@+8E!ysr-g2e2&tnme31|X^y%Iwt<82oe8`vp1c0j_BD8|?P_tJWzsM)5< zpn|S2PC(C?`vYavmcY*@&%u~uSIpuX^gWV;v8L%(vnPOj9Q`{e0+;9n9aC_`6kR;b z&}_&*QLJ0E+#2LM^%=`rFSC21MCEMP33jR+Yg0xX`i$aI+SdIlhd1D?SZ+}VP77M| zw&}^7(a0r}T_*za7$r6LAkXsk6ad7>c7{30cj)eVx*w5Ig)_WK*b12gNV^_-lXLt2 z_|eRJYX-i!;eJYkga#2^r=v^iX@DdptMcf1LgU_29oCtvC%)j9lNAK&iUMIlpms>* z_PW>iAh6{P5opSIm@wV3M9faTC!3SR;^iw0^L{{N#!QM!05aqzQ#Q)LJoit$VsNsW zq@BNqgv%=00mT&Q#;lTZcxTWNmRR2e?zGY>O3z2`KtZ~}t^%E89Y$ZT@rvcEc{LR3 zG3f@bVbM|C^z{2MV8nH8QMj9s?QsoRY+P)w8@!h2Fvuk8U zrDk6WFQ8dJpesK*Zs(m0?qQ!Ct156_w@Wk5f#nUyw=X)Cj0=9@yiQrtR)=^naJ)@& zY;3V~xK0gU{3HuD&HX18dMJA1wTPD;b2cwGKLZ?shiT=<-rAO zMm)&`jDj#c#vu}T0a`i?Jwi`4Ec*hFVIwgzsV8h40$;D-Xaho~Rw(8ndx5_>R;Cp} zOthpck?|c0bZf!~|1h(bZHTabxpmX8d)<#Z^k`5NB~5pzso>gv%9a`Lo%_w2?srU` zpZf@)ESbR1D~#Ztf2a_m#X1UlX^=fO!BCyxm;{nsYol`|baGyfKiVojzz1Z~2#qO+ zQlNB|L%_5fIQ`){e?CxvIHlxgf+b?szVium8s=$Nat)48NI*}}$s_lE!C1?tPtxwA zmux+C<@gXnUEmY=eyVS=TNDY^`Sd5y7xc<{PXRC-%cCY>4a=i8;1tWFIDj3? zqbi^cOM}8-0f69od_v5Glc*`D+;LL0?oJv2Ppl&GY1k8q+babTj_@JV8L2R_J<>e* zP^&2fLly$j50MZLayl4I=skHaE-?9VlpM6XGqt};8}i6dssA0iFy<^w;&4Ss*n)ZC zPRfF~b|I+Gk9DCTHjXrFjB5CfTu*G6n2I2;1kQs1$!d>22Qgl7IFi5eLi8$eC0e}} z^{)31!owiQ;9VfWmui5DkP>KTZ(_Z*og0QGLP(#EBZ91i@xSMcAb5l0KX{Jn(~DG( zLB;g%1RS zkm>?TbpokKC=?2uHFCn_gVggL6ud|PApuIDh|)qA;V3uH@k&!TN;|59Cks1g{KN@@5M;1xY% zzAVkrNPaeF$CS~&CBYS0!h$T+6{%lM33njWW_?$yH-tRe)jRdltyis5)11-hXwV#O zKynws#tK)a(;ETk8w3EPp#NUDPPOcZi3jg<fykME;a zXotgg5jxetYYS|Yl4Zz+V}*%YgvSnoFPxn>Sfmyd{s^odppaEX*Q}5g$Eq+e_k_Fsu*b&2-wujW$G~^#IXDA z1`sCL^i%VvK>2+{ZU;XmXc`z66U80(=#glunZ}}2_&mv|PX{g|!hQ>}XiHg3|AlP>6D+nGX^?p#_a z`6Y-rv}$9!%xGh)!O|E103-@V*2%hU4KFp34`XmrZ!sn=TGg%$u?k8|{z8tkG^1rl z7f|?_Hl{fzlWX6k2Cl@*lCBAW|$XIHq{i(b?iA98)m=4qa zK70KLBb0*T@;tCLAQe%_9vPMc^DP#ObpfxPNBbidTUj7?7O7&IR&N&&1w%#X+7d&X zNNY=QAQ^Mc)ALO6e21m-OqNI}UCyMhN(SE~O~Q@wdNAE16XIv62s{V32J0Uno;H&4 z8=A|KfT6*PCn5I}o}|$BsmfBl!~-RhRVx4Gp2EJ|e~fLQ zMRSf|V_f-xmp5g(d-TSb$lWnkcxRuXl2Kuk>C7sL+sjo~t2SRB)|->7o+b2?h(A34 ztkDg+dP1&C{7USjc6&@q0)2<3k=@pai`lDtdRZaXQGj;*F#2}F3U)7-$}`+qyM2k} zt}XJ?6HEB{=ti(Ti~_%#txU>;Bkj+EnK{oCx4)C3UD0XW2fEIO%F(XO6YqZ#Lxt#H z4l`$Z*-{q;+@kOK*(hkko=`_ykE~5J?K3IP;|IUw&ehO047}8KtKE5@`tx9V7M6P- z6-SNg+A8ndousw_K8@~j2y?io_styC&^Zr?d!FI*eEOWfX z8cU&N{FFK5uIks~I%wb!dNoYD>K>&@b!0@Y+xJ&;%`CM%3#ETE1ot2uO0O!Y5X_@V zY$t$dK45S+pb{z{byFh#H>W?<#Bq0`GN)szqcL;)<3zvrJno3JRZb_CGcxflFcA1~ zQx5#vMDoV%{oT_%O$jLvHC(#)#9fMq^!q)}Jyd}<%o3=_&390_WGm$AjJ<7Bjw;uX zlst?FHU8+Rm5{UxO?lUliA8l4r0ixx7CJrMwkb(FZuQ(PURAT1y!V_|-zvmkzv`yd zC~)w1yI;F$9QRPNbTa6*_JVTSoeC!Mm7y?y%QhGoohRg=tZ_ zSR-;hy(*lcwXI9z)iS`ZwJQ;~Ig*!{>~=g{(QGW#2;7UUn4iu-{(r$secCmQ7j!C` zJHzbvH{K&FD3Ix^7_`YKpp0`W<(gu0(856hA)ZiaB)dgdHyfVagzR-N0J&Nq{OWgh zwCrz1XrMb-drR%{=G?q9?7Q~EN|kCkH22;zXZv}iDWOJY-=zf0KaN$9TB}0h+EBvL zUn*K`)#|I%;yt*H0_|NFHiDc)8E0Q|Jj>-rOjHI`v}=GRaVK(W4@ZA$HW4S?+210HiJ#f31+9y}L{5i^# z0bSYgFh1P?xRQ7cj=WI(uXCjk-Aq%8L*&625jl=6gP6k?AtHTDydaCyFsGso9VQgg z?Ak|&t2`WZnN)Uc6xj(%aeHbIY@f1T5%Y%&Av}D)zkh~L**7G=Rf{LMD8F-F&&az@ z4)?>5efzkc-{IyO;>_Kbtbb-)mML3Jy382455#Li;FH=YEJG@n-|S1f7M+neJ>I42 zT^~mM`N;cAI_>PBi98KyzOZd?Jd<=63R>3_-0BIhZx<2LrT^X2SxL~Qx}RbxeMb}@ zrF~|QqF-hQOlK2csxD4>>s2G>M(RCxl4)zTwFUM>W;At+H`1iGy_S|Cr=HCLWA_cJSyQ>ph4DKR$OBP1<=0+M3 zb9o>ov{Z|d(&jhRn_e|wd)z7&mr%NHs@5rv$qlQ@x2CHeqDtX81S8|uXVR8dA@M)E zxu{2xlQ7JPU-swtDPh#BP8MIuwRB3MD({3DTN{x`%c@?V(O2y3D(o}GY(GC?4N5gF zIirfYtWF5>OjTd4CCD%}NwpRANabf0sR)I*O^da)xwe6u?l!$3x4pC~T4%3G5d)$l zOdprD2U6>FL(L^+99^Ups?rlrTFuQjJN1-G9c8%~wyTUs(ur&f@eCj1WN6TPEQYLFNv7DdPWQDny=?|Bgs5u>RAr|-=o z&Y~>ZUyf@4rwB{+bmlCZ~qJSLF!F$Em0$D5qQO{x{$80#q3}L+^(dcNjMmfG9sO;?I%FH(7vgPX zDh}0%_A0V>-`}AR=uFUQ7s5>92S`~mMZm&-z%IY&>}L!;798+|60*Cr&j}a4&=k}P zYoRTO3_Mv^Sm49~lLzvrjG#MhmLN@}8P4=V2I)x#NLUnTmkp!Y7iNPuxnPoj5rc#e zOmdE-p8LEm!_|K6_~Uo-NDH?(N&TBf-iGdc-W0+3QHaa>yw=o5bzfCf9aX31ZxlD* z=yJ2__Cq2o*jFm2uY)8t-FS{v`DhDOEb~j53z%Zz0}e)Io|^J;71dK}ofmeCmKv&n z9bkm!A3gOd1vl9si~LrYZ9=28-D29=cmC{wxYlMk;CpT5$pwevNV)exxHDpK{)w12&bx!EXFCSmRt zYhrOBQM>;qYl@lf+v`ool|@$ZpmhI;2#{k>;wWN<)r!b zqX}zqyaxP<`%{Cqng8>FW>Xrz6|-zOUy&KmS+X^mz*?z+zY|A>lfvsG{L{vR!s%g~ z-?5p&8J&Qr0Ud6-CVf%48A!Ccc+9$s*Bd;yyrDBX@&^IESJ2qRlVK~xud&!`wJc=c zOY+Y{^_N|Ej2cd9pRM`O@ij~FZ#%n#jgo3HjQY-Uz2OliPrY$8zU~_%;VM!#zMW$M z{Jj6%+a9k8LXyg5K-!yHXtK1HSRtDfP19XYECRXwSvC$g#>VN|pn2}s z805$+n;YcT1Jo5~+)++W{Vn5l`|LSp02{UZN#h!#m3ah*jw;N2w5rk8rcFwPde7kO z(AJ3}86VeoI>-p<`o+GMGW|gEmmGD>L0Efd^G#iw|11Db6UvlqUYw54vi-6>K! zwA5s9ZUXQCv7HpO_KI<;XKj+L)9_{VSx-L!#kdn`NP6&NRIdwap?BKr&PUnSY?=Ilnpt#__v?NN+ev> zIC&VivF)}q%SC=`d{y; zM@!pzV;sqEw9jY~o^jbodvL8KzAX-5y&Q^|CX(YNTDl)#17d;{61nVUEdK^mR}3A( z(P=eRN^FVO_r26U$=Rdb-9sXeo|Nf6z0U0@Mpr6rmgG(!*k5R99zFm`VNYmujs*gD zmsnlIDpzkf8~68_*Wn~OVwoIvF^S|I;m0bm_L_5?Op|*dDB%9Bt}jYqc`=`h+1#kOfUE&nO3$+1r3gYUu+4rJ1#Mw4ZjT~eZ_szt@oj3aF$xoeC zrU<69p1U5VhPTn>?_YHpj*htp5R1vZ$a4L#Fsm#P_6RrrD{BIH6pYFKMU^JWX2CN4 z3#;HRGC)j|0_{I_+tz6HnqJA9C2Bb&AG96nv2yTusjaJP)#RlQYE59}H)v0kCgm7u ztC-KIz@)I^qYCV*K|ijnO-t&{-YwoBYyo;jern)ojNVdxb1qcGRp3H<^0OnYQAHnF zi#Js|&>ZV4FfGP~?CX`le*NF4`!@a9U)go5a$jZd`h%=Gjyan^qNPtQXg0!`8-CvI~Zl6nLco z>jPjeU&faa*iuShX;v7-gr%3jVcRdn0l*j(_FU2htKl=|0ut?b3Uxq&w*``UUR3^u z|2qQiMl&qPAp^i*42e^ade0JaHYw0+ZS7`RP+YV!E(niR*|y$+i3Qn{rS?k^aE90= ze;f7hXu1#t`+6+WbsEL?92VAGrX~phkrobXy>%Q{55_kMb{Jg?;cWrjyP(Kum1o3x z#<4T)j?G?M;hfcYW!q(1#-KZ6mL?$PlEV4T{cIIEaw3N&~AX2tVh!#Bz~&k9=M_P*7KHffR%-vEBp5`LB9x|vho3dq;_HHsL!U0RfANN5Kz^R*-gRn zfRG6htkgRzQ}&f17$wwM6(=xRBk0^e7&8^?c6ZwnT+aWRG2Gaj zoUPx)3^hg)m#8JeS(*mnQ0Q!JDAer8_q_3Do15o51WT;3p$@ zd1*x)9Ezr z2oV?q0h4t4;j#oFJaXBZH5MHr3y#7pa&X>D9XG&IrUjK}4xJ&H--mfRAqxyFAY9!I zPcJX3K;br++)+(Q2PaawoSHdx_xQaa{@2)NG33Zsmo^$eowc7rq<1|mlS>XL>n`pyPb zx|2(`jwFKg^uHPm-2qKW-KrmUgN|AQ0w(Yb;H0412p-7#3~*xQyuBKNN9bfp=r>}L z`^sXxhs!1bR8s=xY*7M6M1;7F^JhdLn&4?KOP+EmuQ~BN2!N{948N*4<6P6uhgf4} zZ!Ktz4Umj;a*%1N9q&3q{AOq#)61LDVuecGH)@nW>siH;pq6QktoT+d>mi)#;)f(V zA&!*hQ);a|hSG7QeEDX7nruAtXz8(HFA9#nt2_ir#~VKxrx;ZnLs#I;XoigHOO_H+ zQhWoDv*h-Hyg@m8#9YY{$k9dzKGYern_i(MtP^jO5|cH6a+o}UWq=Ay4EB5Gh&(pi z%D$L=I-ye&zeInAgBF67osy7rA{m58b&8Bj{saa;PbR|#S~?@5HkwJVOq#~czq}v7 z|Kt+Sh4iHrSQpXcyc0S8QFeP}!akk6ahtqJQ~CAui{&Kq@f(W3$Eb2 zN7if5lkC&G#I->8Da8RWScuv6@OJOkVx&O)yiVxh6qV?HWnC|Q52j??aw|X>iC|W~ z6h#cm)gmTjox|)(h~4*v^)0tf4x1O`cSo3KoYY!pp;7;!kxOf>PTsj9y(v}R9pqYk zmoF|ZcO;)&wX8BQ`Oi(!=M`~gUPQ;x*{)C>f1@`0shrpToUlOWgHQK9D3(_x`5+HA z(pX$2e~e52{6gmn(IGu5Vv;eVeTp8zaw3wI%gQ$W?PGK8bn@PQIG4g$bQcp1A;o=Z zJ0Mc)UmQbSQt+dMYBSHpfbNi|FHLaguXq6;Aecr!@4D?{H~jL)J`yjB_qQB0=$ZS2 z=w7u^2)hgBHzyt;`l*W-$^X>!+sDwe^NAmeK+W`x_y3WY z|L5mkR@mO;e*wH}-f!p4)+GK-e^5GS=ay4O##KrFQokO~s3M~m6Uq1#EbcxJlT1gK zR8oFPxh(eYL;Ha`P=SC_lSxOfQ%O}M0QBe)qlPXG0DAqKKA(x5-{Ex=M{jmL`8qSk zu3Wja=&oZUq&l@|(i0kE8d9hqG_zx^Kg z(F$zS)t2FxCN}^a)!#Fjx!*syb?P0e|J{&Zvi8yN$Emv~7vpGJKX`QU3%<_Rmmd+Y zIx61u_-;b%K-KmcZ^)vlZ8-Y2ioMp1N7M#%@@aV^X>@X$LBBjFaRd4DJa!&6gOCwG zsLs*1T0ekA-gN)Orq|nOKxmPSV8Z&XE|!3UYIE|;TV&oZCNPPx0fn8$rWDYjCv#(e z`0kbCzK-ZN48)v%<<=dSzI1ORiX!hNC6KC}*j9rspL{Fwy zByTO4qAU@83{~|-M4&qo;_ZjZQblFi7z=|E_<9*0B*5HWhF2T(GK2Z+>o|%5x``AquDmJgl zTsw>RDS-z3rI59I0ajqQ)Yjy!2gF)~=U>B$Sf7pvuMyg6hl&DkK@SG_UmFPRJoX9x zir_taC;rAGrVaV5y3K91{cR4mDvF4^7c2B9ySsJ=$+1|D3L9`>d0OA}>&sQ(ei+&X znv0jyXu~Ic!V>KbPKD7`>p{?#Whmz6CNah)%oho zO=#bh-iTqj2uBC1B@kN#hVyp6Fy?Y|rMKQ;Z~^5cA}L@`kv6K4m@8b?Sbf}e3vTn9 zdla?P2k=4{`7s=nGen4F64ZL{rPbm($bG%wij;@R-Ui>!WVG7M#bKbEhwz%EP~GI*`bmQBh8 zTIiE8dtkJuhHjjEuM?31AMIUjk~0nAjlXu;l^-9+=l}C1m3bUTmamq7SfySSf4RB7 z@ImgEqPm!S@Wd=T$!}yL%$^m7N5(LTjIAZ={$dgO@{u}k% zzhPI;3rPy133XQ2Cw_MG?5cIim~;y7_8gik+fe4G>yuN5=1ldetk~KHamXmQy_Eg# zL5A8s`Ty|s4nVpz%ewFy+qP}nwr$(CZQHhI*4VaZ*4VbW{yF>G``mN>8*wB0t;(vd zuD2qpJG(0@^LZ3f>J6-jk!M)P(8f%Hij6jUpSRKSy7xdfgLXg3f4^=#5opzj{N)IL zy%G$69Nv;*ps7<__oXsDJpf)}f3v4{j(M%K!yc!tueLCcCP) zc1z~#aSSn3TJ_bP6VaT9km2GTw74_dOYa*vj&pe=HJduG#FRgVUXC#; zGSZ%T*D=Pf!nBQyfo3`KA~NE|au7yHq{!sExx+QYO+Y6s6l4$g*H>0KJ1{tJ9|ATt z2v0%NJGY2?Z$GLVKXP@YF+Y{(p+~<=Lkh2|gsa8jGzSF3xWzqi$J=IF>P~}XIe+91 zUv!Y%;OWm1*d)4GMfY!MT+-(HVQyE=+=I3p*N^f=*||Jz*e=HKBC1mEDU z@Y6$QkBE2lh;H%g4!I1gA|Y=@y8v3f`P6!l_sa%9<|BuJ!h;7WGweqlGi;b_hE}`i zY$jhk@ScmXzw~M^7hg32u^zE@vz)#3Cm!U@(;R!2JoG2d8#gc395>Y*^SjMf1qJl- zBTbXGovaW#Wb6((x4`W;#6y1@b)WyHdt=Yq?LSvE6moNQRZY2aE$fMy8aPmxdPL#3 zKZ2%?7^SW@n20=A&*42=d(;%LjFN)fWzA`(oT5vqnomP|7L+hzGhOv%9%(fK7O*d6 zf!05sxwo~D%*;jt?vje;y!h} zapK&8w?x*3fZhTA(#;;jGYnh);k2psU3tFnDP0a#ESxdR|H-OV51grs1iELLsdvDh z#3?L=c-$X!#m6hWHIo=2Dks8NECwgnR*GQ56jPquK__CWM_J@;4@r3%dtdf^b1?}P zmye7cBUmM0jj8>2K1^FKJN+Y9*xOs$NYwN2kufQqytG zp}?6Gh_7UVL*nQ~+Pk}F(=%OpKaTjE7T1`2r7P9in@$Dk7Xy#J!c12&Mt**ssH z9d!tNqEmn0{owxV0!ZFZH$EpnL9fv3k%YNjIHR}b=@9W`x(vEk5U<#7F!?RZWI`yM zXq-xdT1d=fm9(%ftOkw1L6@?s7-kA;t2!OENs8l6C_*o5P+SR7VUp>S>O-!PplC4u zoUBMPC>6vPv&bM#W>aKkdnR z?P?SR^Mg?@U)G5T_cS@C_w7RBb3V!YAfCm%6hyF{T{3rYGGGr(}GjJgRJWPN&xUdjDW}xIx0yqyJ{-1h*5(4?8fK2Em z<9t#e7L4-oGd)IL#W2j+(~_o7*)EAhz4>{Eu08Bba?ecQAYgIu98{j|+G}HecWLB$!of;z$)q{&=p(znm*~^rSpFJO16#Lzifad$H{EAi_Q~f<~ zHcPkc22G5d0a?@+Rl(i#%`j&~>5jw!miaCK42CDQVuT<+G9Xh3tZZl4G(3bO;aSq- z+pt7NqMR@*egP1%vwtvb{M?VpnO~5Mq(G8YfZ6Pg*|>^{GKH}Yt^`74-y(ke zBB_2 z*0$WDq`amE%W+b~l+OTzp1?03?iBI4W@329zPVR3Itbk2y(~yfTT;B>x0t2Y^_}c! zTiF#FGA2eQ++_eapR1`nevih}c|-1IFkAS>B>*8K!TA}zD$8Z4T@Wo~Yh%?D0+kaH z6tW{jir;`3h(nlS+#*5w{h$s~LFbXwx^glQJt!<8e2VNjt~Q>K+)ii7Ua>ThQXvr8 ztg_Uv&MKN0*mQNj$Q9>)Uh#u?K}^Cs&C7Y9xq<&`MPvjna8^nHln9Dg*F!xdx->OKk)0?ds z%5$Y%OW?J!wQZWN#2l0>QGqI&=0oEG*m;Mwa{1WyYce=}E{w$^#abcUf$3J7P7m_d zkX@9Gb$%M+Gn0__yRW5rH5+1TPs5K2tX%P4Xy?QHL^<&t58k|MrdxNFnSO0j*|zW# z%e4I5b;WLb$Qgjw&<+bBz}M^a^(PSYLq|{Ey;AQl2Ci~)$uOE?9Hb<|Gb|@G9IfR* za}hV7auhQwAck?>X*4=&q4Ga<5qN$i!GAQ10m4~4k76ZYJU#LMQ8xw@D`PUKYH7n+WZfb~ zsh=x}4~a-bk!%i)f_IL!T^>Q7C8GNLC4Z^#Mo=3Hftx8+xrP}5{`Vn(YpxI`c@CCVoqW}DHmvID%htB&$yW_#v}u8C zQ#e`2yriLHVk-~YrE;Rc+)?Mf#Mx_%n%3B0<^{2sgjvKR^N-EgM4@I$@~lytB43n) z-z-*+tZg5n-bTdH35+T^DrIe$FbI=DkP;~S#Y6UreC!mk*`?mOvo%ZIHh< z#ojh{#g&>c_ZI7>5(fO;FJ3<3Z9q6*B^gM%`pJ0;EAlds5;jY;qrz=EuZ^B4B39%d zqgBTD+wY~=-Ghd@N^ux8J#x@1+iFVH8gY@+Y)6B@itjS}eacMQ|6x0J8}(^I%v zFUA|xvs@pRECbTkkd*QMG?dIhYIPGZBbV)6OwX?ki6q}dGdt_gA%!L7G#nfUB9`O1 z^sfJPyFBj6{{w65c#!;=kX5((@(xr)nMw6REG@frEzga*v9;u~Go_dYY#l$o>Z(r- zYw5s8N9!S8^2#Eyl2){KFC%iuws)An+fK&MA}iZDW4S!#ty`bp{8Xpey)m*~AGWCs zr{j`W&l>!ecS{zNA8E3AZ);T3)tXr5SZD$*A>hI2rx_aGHNW!v)e8TwXt3%BB<_ms zIdIl>>?ex^v?$_6ctu|mI`H~7k)yriJ+Y%MBJe=AR9GfMVln(kGp1K|-N-o!|03`D zab&dox3Ch{V0}*|)kX90ZMBtEMC&&vCsy)GDaWe&{wjc1B)*}|w>Q10TAn;x3@(8m zCYc{54{F6>5ZHb0B5bdt>(k;o&~k&nlDl0g_bure+08i*E5{iIZX=086kvK&W|Q!B4C@-`WiFzl@P_p zvXDC)N2)Cno__%{bw!#p>&!DNELO5(LV%NqI_3nXY!YLTL^{#)7c$uAFHBSQb$e^m*U}|C5Hy+PDbC z{sP9{75LX4`6b3Cz@J3>dx3QV`Q2M%Sb&E{e*pPzm38koemL4TI?HlPk9EZjce6O3 zJwY95ay6A~w%<8S#8EXskfp$oLBNpuz>qw^keI-bFF-7%BCeskhb`)wg6T-Pj99oE zwC*gn?#Zk;)^-tVtIgGS_bV-xl_G2wM$sbdX(!E`uyOU$X)+gWe3Jf$VX?GX_l)r%FWndFffFaVe#f??ksNYpBl0a@D5yk=@ z`En{rpDdl6rzYb>nWZuk$&t-TCvEpJn@MD`sms_t*cS65pf11k_TE|Eg?dNA=Q>a3 zYh|*K*xNDB>>h|?4GC()q|R(e8a2Q?j$`*56AL?I**K7j4b{_I75+FZlfK8mf;JG{ znVYel|0;J*Wu6($s@<>(RehQ*9d{@qRWrfiO_E95uyTZmH>3i_(No|?t>8Bam;g+n z5wQuKkKf{nFkB0EP`}~s9J!MBvZlvz?p3I_pTmj{Jp-vLDsZRfAV`*nzmQdT5UO(0 zXP>ZOlNG+GE{%cw;81-hJXr}6j5ugm5YyK3(&vu2iGWZp0kaxn(kO@{(s~$uW`mB+ ziRl>3cVT!;C@L~wzaI)2n`au;N|;aGqil~oniOm1QAH${?}`(}YX&A?eTVUntf9+@ zs^pzwbWFTmkgnO<1m9~}L(+v%D9K5w`(5$Jpe&8HbnbE>qC3#D%bw0yPM#aEL@+@T z>!uh-PUKbxH(HG!I1n5-fsimo#%sZr0>o=Z_L*BL>-X(bs$#8l1HRupW;fvO7 zhNr8hLNr{;x*!b8Zck1E9#1^eZSw1mm1nK0J9(F4B&D4r4~qRkktzLk#XpXF%3=eU;_6D$G_ykCO=9I;kmYZk{+Yed858EpC6hkqkf z0JvBo5rh@Kfy|`;dXZyq1aN{qF=t{uDB{YSrQw}$ftR`2}k&tH-3#wwz-rPMif8<623!W zjfzu#BVtqqmGT??FGRACfI>Qtlfis@8U$gFf+&070R(U{kE3jRU>Grc?0|pD_}JsI z3d0cb>;Q;l{QJP-*}wj)E5+AI;&A?-sR0!DubD;wFAOD`M+lGQcLpvbg2xFs1;@mJ zasVWj^&k50OQH9P?=K>dOxXFACeY)V0TN017l6exe@P(WTL2Qv^x?}a9P=>Im}}kp zkkF7PX+lJBM9|0@MkTH76SuNQ(9}lIFwzG=OXC9}jr9TlOPqtAl7=-c@&C_If5!a3 zh8|$AJd8@#Ff3v1fT)!{^lwq-f0h1qV)Z}g{@=~$qH5;iTH&?klGg~a5XbC+8OZ{y z$Es(;ui z-$FBA1SNe-j&Twbsj577iVs1pwq+zL0AG8Gd6^62<98~iKv9%j80^3_3$ z7JNVAEfO;vl!S|m-cubH)eDLZ9DXp$6uXEDXxKDBGAi0I2HXr4myZ}s+qZD3`%K&4 z7B_N8FejyyvY-FhM+Tnp$^>}Y>+UmLMH2;7zq=CWv7-PLk^qh)y3KFEYqoMOC5(TJmTtV#phedhJaZ)l}Bx)zg< zQxEdD>Op%np4VuvsGe;FyO5YC)dtHkiT?3GgX8saKhya9O3O6f%EV#zQ7;9F0nw%g~zo8j@wM=uC|!D&-5QqX-P2y zD_MhQ$CmV`DmE3^wpgX;N?~3H2i;Lh-`uqp6kT1l z#8D;fwhbN}1$<$zX+gZJ>YnbJk%wEXGu_^oxWJJ|Jc zE1rOeZ@*M7=W&Uq33QFQN{|u17o-pc$YWqNi85~L_3exy(5}X2jO$d1FuJhv*|Kcu z&}#o}uB#m%W@m$F5>+C9XGAzo7<+G>VU|E0NexDvh945x#z?nMO|n|-^Ym7U+1z+! zg*$s3nJsd1Sh;nr-FFbVMi^rvI6^3)n32@{COQDtOXDyPVrsn?jj-fkFc%afpJVQ3ZG0vDjT^S^FCW%~3M9(OUuikVMr9aO7ae zx+LB*LFS;09Z}pEK0&H6`HfH&(zM?)?|`DKyj}h_zAuS*T`GG<|Lcr-L_&naf?(OD z#sOqJmsM3{9hq1yB=CtGV1=;6eJU5>&u^+}Wo!wcj3sVbaX{m-hAR3T+#jdcBH7Fl*@m6InHV-58cJWsFCqmG~#Z1k+5Bsu1avHERthRze_pGN=M$ z+^Ayr2lsZRv5wBj=|9>SX%Fyn!-9nZC3jS7E7;J!L6R?ZE&k(HrPOr%M^ubFlF-=o zd_;^nfcOmDeUT!i#2Q?n19p(dJVmR&)ISQwa+jcNKV`{Lq%aJq`@7JCs7J{qSMQXO zxt_y-P~-b9!i@*j z{4;u!Pk`wcyU8ABPME>NM(A1fRyx#0jLhuKwu~$QE4&)om8AeRoX1@&W zKowaMDrA5<^}vwL1|c6@nHVKC$KAW1>;~LespBHBPUezS&r5%%5jt2MFd_CAQ%7N; z$BeEPIQp8lxJ9Da-4wu`a1_B+YXXcr*zjQ0haMp*rk2ocLNP{U_XX8ReVD+e^%YmF zc5Ihevgh9eLqtQkXo8XeTlgcD`nP-`gXyA~`<;xirU)i8O%7HJZ%M+eoCQ=(A=TZS{IE|0EY$~9}cB-}SVgLtp) zc__^7R<>!qZ$GyrKGC6TJzau)%)xoZnmJUxyq3U&iX&*s;|t5e4NG4%>+>1!5(;{u zzpdw&SaP1)>sw7sUEb|eDH!z>R+RekU2+o;Im&6%DtK=7kxFqu=KiWDw)%TSx=giD zD$MRRP!7%R4Un4Ft}WhcGYIHM-wBOQ4P%kUiiNAq&ksE=;qJ1JO z=BkOxwl(@pYc?PAFBdGA1EVC1a{TrspzHW$p!m$!7f2p2a3{` zIdsUPY7uunbaP~Z25=VmHJk5({;84q+d~ae``8&yJ^ZAu;&`h+zqw$tNQgt6K^_Zb$QB5iuZ^;9K&Y$U2npYeGCQ`-vIAaTx2sbw8d8CPv zXwB>zY)SYm4^**co%1o=EJvr$nAu#k9Cjg+uYCAdI2Be*M_H{7j@>c-^f7>yiNcO? zmQ6nM_HBIps(S*^y&&FB+P5(mXnF5Fy1>(rK)1`rl%9g+C>kL19vuFyC_9Kl`C&cq96R!($l8ej4phSrduv< zqm+*4SVsklX6VBlWUyXo^a_PA&EYtC#m>dDDf%nA`C+jSv=Ted<_*=m7okb7$5j;3 zwq&=1O-!mUR^#+_&Zn;GlLm-0k8Q-?MyDP-=TipE{4SSFKzV%))6cyKA7BTr zp65S?0B60?wp{Vy(^7tS09xRAdfkKDv^!C(1^nGN{|f(?g(xw? zDDP5mQD0|qS0}uOHf+Z-?JRFzyO_xW53TbWb}BBbOlR$~myfGl4i)LcO)X8FG__8H zn_pEA48${hacv11G)JCjP3E|>z$P`v|t4fJk|FmYwB znbV8M$^C;;4hwObpIKhhblhlnzfcNm94)AdK)IbX>;%BUAJk(h9V{0`w2+p^p%L(^ zgNVW}2(FF-D`6|duGA&1iafiqz0QqKEV|7Jq*{>wJLW~v8@hwSX&^cCcV}gCSOr=$t0}qC zR_Db!oIJd|B?5}(DT^|RCn1&P4{?l84{8wg&DF^q)KwC0P8;J+jcqUjMU^EJteNsA z_$p@~BGbG{ghe3=`XZ&;$`y@>O|<6~?*%avrv=rf;rb;P6e%fRTD0k$(-YEsr_2WV zxFjis?Ukk-wGLLgDN;Fm@^VR-y~y_WUD{`cf)*OanRFOlKNf=~wQ&qeyw>Uk#-O8t zrSLM@zEpyw56AIJo6^X}m5Or)MV{qQM}LNq+Cf6Eeo)*+?s+B^obN!8lM&LPQ@pHT z>k9lSYXHx>dV^w^g1^I|nfMr_RExvVPl?ufm*8*;f*SMG0s&{lY;qWFbi?JH3f4vs zDXlN+dc;h_!PIXcxh;TuWGOO!2O!B<#f^;i?WVu$(={Vna@}kHfXm>G4kOLmbpsSAf zpi8|Wit$*{wn82p(H7|LKnS9TuR!8o?8#pa*!;Ks5%v#3S4gMWe^ZCr6vzw11LF^u z$CCPjg68gwC<<{UcAiFn&h(za(GS5GJnkh&=%a#k2c^0klLDbS5DU15dS&%I8GBl2 z>5MO%I-y>j%nySboJVt!7#Ga3V*|zEN7>>NE;#Nbp<(+0d?mD@_)5)B-E*JLq7Ml8 zZy%_Kdi2TVIDO=pb>R>BLkD6wGRu;pHUqL&i_D3&$HDGo@Zrh2t^ihI3;W`Rc8t~p zfwHc|gI5<&<~ckBj2~EHh2dlk z!?u_p6G2#Jz8r`-Y@$iN)Pwijkth3Z_ABh-(GUCjuL5Kob~n-!itxKkv}Hqm0&usW zK@Qz5{g8CAIkRKIA)F6%q})Y#4|4R!(b96ekb9`qvFLvgSA|zomB@)&6Jj2)z;Z{i zdwi(utzx-IHk;@q?*p^@Ta52x8ft)zg>5TA7tAkV+17Vl2g%hS-eH}}t1Ub9q@mjm zl=xoogR~8dDKyidq!F9-;*OtlbN0sFL`)SbuJT2L_Q8Up5=-3}oat9oO`d$1Y7sXY zqFH59r%xvtLg+VBvqVhIAmwqLYjLq&Y?vgI0>vhT+WnJ;Y=c*tYfsF?#HyChZ`V_~ zB-2bwuHmMebC1NH?8wBh$Z|*CB{J7LwkXh|VcF7kE^6vSM7$z>sGy+tfvXg4kQxHh4v$O(M{pf zQ01UQag$0R)X7m=nylpi9Nnt1`HRzfo!biZ#&lA1c9K@g7j{Rr*oVh$z_=1FEYE_^2} zUP-B;R3>hpz!mBh)bqLCvSV(y$l|F;>;aW-3cfJgz%{z5pQpFxVYk+DQ$XH_yn|lB z7|Lmm82l$(i{^_T-dkC!IR!`KHLho2G%1d|YHc7>|C|@C5&7yt@z3q`(oqhrC5wWJ znF761ii`DwbEnSxJ<6nuyX_Un*8AQk#Jm^E{07kc2gKdktNZ>SDbiRBjaSdtPW5a} zLmP{4Ry@n~O~9rf^ES}i{Mr`Ky3dc)&T#yP&+ac&s03Bk`M*|i|3HoZdlmN|mYHrf z1*iQn1Ygvj&_%k|s(P5q?VQP(QbHmFIUI3y#N%wVG-??4M!`a2fV=N^Zyut6dV?SH z#J*#Hd1u+jgv8Zn7#(>2_p>B^FfDD zDz{GUL|}>F&|yk(Zj<;NCvTVJ2^Ttpc%Ddndr%S(C$`- zFp$$i3DXlWINn9cktN~fsr7P-2}jBz6}4rB=DTpXzh}MO;H70|P1WOgULv$whp%%B znl*NC_`E*93H0Hv=NftPGGak0kla6Q5r4yHr}Mhzmh%S8IOk@3jjlEMvrbdd}MXR(Tk6@&0fC zsK_9M8eK)kj>r+;*WEZng80>$3Z3vp#)~tc$Q~ zLm;N7;PwE}kT>#SyW^NI4Xs65%Vom=+jN<6SW@eD9S#Nh?M1e1{x2FVj3(l}mw5%RU;^2utZ<;Zm0;Z-|2bjY**4a>`d z;30Tc)da}eZJlhdl|z02WU48b-DhQ_0-+zQrUF#KcgClDxw=I!D;(Z4h&^$i*j;;k z4*2KE35#(IL^M_ZW*ssK_-fTuszq-4_Li%*N`>}ZAO>`p4-^gRhppvQzuKnp(~G56 zaJk{|ibnflK20Ce;CD^chV(SDd&ao1HP*|CDfPhByJV7m2!25aLUdcB_O`Z;4Qtk9 zx7IbKCK}eAr$$#w)L~`UwYG{t=WNLMvGUzd`0e#o*Rl%agk9#QEt$?Xz^t!Wh zEN}w9+H5{rMc8<2XrmZ?B^ukKbvw57dUf}w3k#$j z&hXFB(65-4!PCGW7-5TUG&cv9i6`A4W$h3}Cd*GbtuRKyX|J(BEMv0{kFhQ`G1*gL zKc3Y*&8(VeYd{HL1$mxN?>dAg>6*KGgVHh&jbcl;p=d|MQz);eccrp)e7MTb`mx-~ zoMpXcI<)k7uMH^2UcmD{Cu-o|O{C>Cw;5;bv_M^Oj>4-3VRaP;e=FQTvXs@+zYp%j zQCgqG8(Q8I_0T`SQe`9XRrd`&;=)yl>d=gWdX5sI-R1ff|7qozF|fw79)h29>`^sH;0WD8ip?rV&oi zmT=ww>+AMeLL{<&{VY!eF1_tGdVu5cA~CZdf{_OHeE0qB`)5N%+q?7gVv_s)@vSWm zuaLg0y`$^nF#`PW>&MFn7(9Tuq$hXX;(OooB#l&+ZavLJCXA;*ytSJ`B9Ck!zPQs! z^fJZRuT2WCbTJOdKZ$j4I*D;`ev%3eRW{=}^7Sl*e%E~7Z=ZdjcI%DqGZ%p|Vc|Jq z6{Egvn5b4Y>mj}gzL)ouIw#&7?q*SNv1{|&bdBt2dzI%V$tV}h{bwl z%_kB%$=3E;YoG_*dWP5d2@V<73z+2W8@>LnnXmy$`Ih?j9s2vq!iTBxTck@B8A^dt3Jel7^KmE~kw6 zo18d#1VzYc7A>=e!^+3VYSsc@DQayW)*c!q_gfn^mLs{%saF)bv{Sn( zJ6v=(aswq(l0{NQ=qAEy>6ym{A&4)zbd9#tx57ypXOW)iqrCW^!6+% zz2vCP97JFj!LCy_O#r|2!&+PM(vjW2Coxts2ryP!W7?L0pE*Kxl;e-JHPr!BqG~5t zj%$I?+wIqcD;(z^KUsAKn=-DI<}Ylq1l(27i71>ji-xLnajf6QOHIEkiw0j`F})mO z8{K`dK@Ij?g!^(GrDhWDLrnM1t6u?#o+a(Y$g_d#MvK6NO4@ zE+YxWt7Q2_wngF-m1S))E*px4qE}fcO+_1DiyspWx|R?(I3pG&sdFX{fldUVWpkd+ z8x)UMWWw*1qz(#%{KkmI28~qY#6Oo-HOb~sW6a->YH2E0%LkivA(zpEq(6N>Ub1|r zNSy~_yHsaBhtds~8NLw9WQp$6`K)gr*ry~m^sPOvO;32Y8gKvXOR5-PXAUee)W5}F zPu7SLj8Jj{Gs^;EhMnnuj`4ATY2H}_Vn7=Oj5rHQSvjbiZ{^fsXrfv2#<@Sq!uE@} zj$D+ZgBM836qd{Z>e+Y~-qcy1kw--$@Rv`bQ2iWtIJ;{&K^0E`&r~ks+8Sin%T*3ft4}5&1_fE97=9ZWBx)!W%TSiwylVYqz#*B z$qjztfVWh$LLsWfdPE7$5Db;P2!M6 z{lRE?SU()P^8t-zJF^Jh-t~Z8i~q8&ZK0z+fSLqYXW{mmm(zMA&#-$HX;D}|l2B7g zF6gYX{B>1%9|q-hbIt&jQ=sBz=^@MSX+`$+)jQKOrK`7t{>~^r+sl-c(+^-fSFZqp zJRn&BEW;AS-Ua99A@heBsdJ)JQy1_yl^wM@8ji8?slY7qoXHndJq?#zODRy~Xy zvwIp&Je`wx=B}_zM-9q@Wb0zC;DKn9PVy_Te;dXF%Mh(v9)-G{39u8#%`&Ng6PWCU z+*dnaDR}#@4!Q@WK@S$_B`Gt;?btZE3Uu<2N|HA+I_xn@N@Mj~`%+b!p*7%=S z>9m^E4`n1g?__V_Sbsx6v}OT`&nYTDHL z#MVfky1TQ}`=sY*kXVVwse8YsILI~ZH>sWqE|kwjc4a8MdNi5k`pHA7xQ{;b!6B$( zp;uw^2zSUY{y;Xf;atal2V-Cc-2ziAch&@P1V>#0S&>w8okZHmg#6Q6=7UH(496s1cN0K5KpF(9xWaC!l*KUG+xBHHXEP5kS8I z?jnXyOk+@?B38-QIkimYvB%(@CHGQ=ROuBV23E;a>-$%^DZ5heK9?!V@bffls*dzvna^E(lq_6GJ)*xl{X z2XtOgI>q3K>_pvf@9OS?X5oI4$(?XPgBtpJ6}lvk_Upf9gT3#9l%mVwCtfv&{^gJ6wTFnD{fE)QbAoQj90!zN-@)hqBtr0!^O+ZrPr`8 z=qM25j;$G~Y#tmBnIaibbVR!0EK*N2N^!np#AN}AQWHOB(ZrOSqtTfkg6atbCy@vp z*deHw!!tYT&Dibt`@q55#ep?bx+g%6E^d5EFm(wZKvKvQ=%V_t0u_&6rBAX1CK$lT zLgS&?{e~&=SI%v|$aW%7pLyM^UQ*|qGw2?O@wpNvNVoYU4&J`*_n~J{ucpJ89vNqC zPPwI%cOgm9R7cGk4@6Gwn7*ZDjC~YU18Io}faRN!8vs)lV*l+iUhs+`kO5rvr3W^L zrwOBOdA4FttE1!%Ney|L>T1qS8|N26@ID8!vZ^T$_yOOqN2I z3Y^v1rP1+h9AU51h;?W@;cr~ehz7fkr-g+Souf$B(Os|N=kvc<7F119&SPDE3N;>o z4YQv}hY$v@?Oy1u51>duP+SN8rI7#eO!?0|*%(;Z{znyS*=5lq|9GYtJQc_=tEN0Q z#xke4R+LI?kzW0f_1Pm;0gHte-`=>z_M_oTaLFX4rosE|aD02)vSZ{)QCi)Ut z+d-D*%KCkNqVM>9JiZBGhpM5K-CW<`++Nhtx$OV|Dh9n$(|Kql*>uFJC7%SN^|YZj z5)@2HfFjuXDn#g8)1<-rJDSCL%Kt2o|>NrPq-_PZ2-QzkcU@tS{IS~EI6 z{4Z5lD9i%IkURVM>~E++Qc2c4zYNkXjIgfHi#z-JyZ1!{8UiW$lR{oRg{gXp73XwL za=0<~yM?YV1IOAQ(2KJ@#FeLZph{O0qgmLx0XusTiHK^+)l&HxTsMJfvwYduupW9F0?`Q zw^$ahxcLqL(!#j^>vQXeN72IBM9<0G!qoY{IJ(V^-Lp%Q^nRF9k%#kgkgBEwGpJz4QILNNtC1mqCOW|+bQGcv0(m|K3yWJF8J1mxYpOj{ zz%yfae?)@6Hzo6?D)NqydpGW+J&_M3+D^Nz19P647EiCFoP(wRVId#B;N zcpc_EOp2<&i?}O;TF`^-1{#VNNw0Xiv2EM7ZQHhO ztg-D`W81cE+xD4%@0)X~PF0dhr7Bn5NjhJ@{k)%q+j`DZ*F0Cc&^S+eAU|=lZ2zMu zK>PoM)#-oZnXIlQcfpR}>*^Qcf}1x-E9Ni{-H04icmk z4~+No&Ef|JAr5sm7tG|_+hLA$m>KPmVU+SROFG4VO}GDp;7yuFf_}mcweLKHx_}#f zcS}AZYg&04}jrL+ZJRML8is~-^e+M%Jg7ubMfQwvI*pk87~`H*nP9o+co z<@MwE^Xe3+-hT#_z?g2}rb)EP^Y#Al>|u)_o_%}k{6XZ-Y+n=7gW0;%uLF$NXm7YX zfO?JbS{CvF#)~S2FA4e&RXj^p9+1fYDMMOnnsAG>bAOjwS{1niUDa2+PW{guPi-XS zC49`IxhnE~?WugCs;pI4zf*APXKjAkf-p1dpd+@ahI_9MOAFESrdGx7%} zV!I+p(a{dn{0B7a-HFt^S0Po3f4ZgfTTxg_KSkYHGM~E$Qij0MQ`|A?VMMVK5ar+Q z7keVPp0$|Mw4N8^o-pr$kM8S0QDrL-dEQ42A8yAd_d`|yMSvnechFrHfF6KPD0z!n zfA4borfC0iaDYf$mC8u*6YvA8CN2kABlY7PPBtNL9wzwr2D|EytIA}gHawhQ-eqQr z^|a?a16j^0&=L^k%#Zditrowf=O;dWMh5xNE|`O?e+{VR^B~HpDVWI? zY}SK{6w;ps`1pGI3cFc@m$9s_CH!3NeMJaY}jf$A$DtMi7x6tffjZD_87Xc0ChT))O7; zhrgN;lh%(H7*YR0A|y&*J&o3Us2*wYeu_f%WmtF(jraajPN!3mOyV;Ujkb@V8({A4 zlD6Tl33n%kHP%?F1}stsgQy>sy^x%g9U^sucxspchJVZ z@z1KPe@%6avZ)=Naxh#cI?eEqnPGRy8TbYRGFI&1zGa6xC##kh2i4Sogj zs>By0Tr0{P=cq~~wbjx}GZ>xluoa>%+&whhO?$8*{53S(PkXQ>90n%7&mlfQ#MnF> z=5G`X4Iz<`v9q?ZF(L*Q;lTmnfnsn*(ldr{Bj=FT6CWb_E1q|T77Wn;yYenS;B@#+{$@nd_Im4s|ca;LdrH>d1cEn$F@b$OTJP>hUl^NBxdVB<3+r;32)>FAe^U1G zVCzHs8|a8K@*SsvGB7g{AP<#Gr0tFR6M@HAF*GHEnr+D8Dd5u_l!wWXCEY45!Kd4V z=(F%(3YS`7QGAg?r7aBI(+XIQG>RXOX5wm-A58$=CXVjMw@Vtevwu9gpRWDBIzO%v z88NbVHh0*1M|$8V$b#dF5V3@eH2^8cIH1b`Qr4G6M`7BVO+d$}(pytZ7~tYe^Hama zLDj-LJN!+hteI9FpCXwrbp^?{-AQ}TC?xZ-U&t3&N%Tl~{aj!xT=+j3ki&&XgUULw zsRTx^TYZGHP`TMD6aB))G254UYZu6DzQh&B;gxyuYZ7R9Brw+0qn;XerQR6BH9{w= zil%rG=&n{8Xp*f!_W7+nLPxIZ;iu4m+yHgrhgMOeE@BrH?l49^XB}KKh*(D``>?8O z56Wf`%WDlwXnmQHMYI&K2_w7Xfw0&@Y&E=iHWXD7MQnRWRX)2K^n;9d{9_F^br@%+Rh{ z>^Clu-tYKR#{IE{!I2rO!aX#J&l&ihFJ7}lGSJZ1XpEuAuyMh^WkRw{fa5*@b9sO2 zUqdNB!P$fcbS_C5MIM(+I_p~RqIRA(yXc%L7oMM>_yeIJH4QF<%jj~)lHkzQ+mpo^ z`eyIV+P_=z!dkF#sX{1;uLjEqOuWP#-Z91Q%_-RiJ5G8qPf-6qp(v2jHGW_ zaT@(87PWwdOp*!F)QLOhKOklW)vOs$e1!a60)!#Q|zHw%fA0J#8W%qfc3#jdO(<>Bki8ZlbB${Uip8{Ux(N zV5k$^g&J*aCt3*MLC({~hVw{_QQQ-y46D)#3bk+v%n*Gleg2Uf)eJWgh%wczb`iejX!5Z!F(Ut{c5>>eH`-sY5{Pg1~r` zSnz(0b0s9yvg;KyZPpD@(j<~p(roqu<|9EwBh_rN#3op`Q6}e>oUK4^(5EF?wGN#k zVoK1e$OZq1Q%xE$1qLSQbB4s^H~83iV?F|&Vjjo_Tqn??Tc5NWDhg=iRF4zSsHZ+a z^-0jv5J)c(q6%=t93gl_Q%p*)G_E3umU3K@ptH}Yfuc8Q7e|YQY+5E#(Hx*4Ie=vC z_#7T>H@(K{(J9VOI+(&Fx}ShD=o54h9jLt&z2 zhEs2(bzWANTza}w{t@7qxSwKJXsD6}0ZUPL9K7-g(Yty>_g+7K^lxTBB-Q0Hh{)&q||{uL&h z#i`c$hI?`!jS^#KEm{2Sz?PP_{Q zvwsu@4ag@3mfFjEh%m+*j$zkYDdsG4&?W9U(SXG4|6N^{bP2pt67hSI7g7ldY6>I) zjq*-*I67~QZ)qu1JG@@<>ULA}LyJ#B#Berze~X1S9lif!3DLM3nNiSMXIv-EK#-i~ zZNM8<;T7#94$?_H@MHwlgv3o??IU*f=i-rY%+$=dxquv{NC8fwNS}mGk%HJ&V>FqM zG6H8Aehs5|*&|Q`{27Z|Y;QAFn!|WVntDY=uRKu40b18Xz5}uibskp>WiyLo$WlXa zPE6=_G>U^En+CXS%fVORRG?T8At~#;gb5@{?{%%yXLoe>t?Gu15sBURs?i*{Rxo9MeAV0a38r zRio_crFV^6(N)*#(=g0BsRq-wiSi%ZxAG+vwpi7p=Q&mhH#0e_73-FYwLdptTS)3= zXr$uxsC=b1MJrj|JM*9kZk-qgPJ@{QtaR(G9A$u=OZzF5D64hbuE<&4O2&g@@q|LM z`xzUXLo#-~4&Y=h%x$=vKH%5KkuP27I0;w*o8ok6xCtEqZO{Z}!pwyt@`f1MYCN!Y zxFo+gRbu7`b1HeV9@}rpoPv4k@teG}^4+QjPP?L$5Y@&JtaFcnc^D455MhD4^@l7O zk@8F)%jbKKenoTU-5uAve!u#h`V$_q90W7Mg5-^%nRoxyE@Uk!hQhqL=WTzJ=gl~g z4?7z26=WEtm8C1uJn@`qQidX~K^Cr&%*s>3o=%X=Y0$RgBJ41oWNJFyI6MZcn`6)t zyh!#P$7;}%_C83`f`#aR7YxTTe;{|s^6Fy~tBw}@=a#P>p8~ll`Zs0oa3r7OeMp5N z4kgo@@18-?5|zR}LBBnFod-*Y++uvNHAyLY(LeUMqWL&x3B0nvagD_kvN_g`VksvL zvN<-5;M^uRF~r2CyT{y>4oUg!E&&(d&=u2{w{n~5cvN2I2vV`{dmEs01nxpwrq-UR zZBHmI*9$YcBXELkg9dcJ%e zuzvuT{XUHm<_E~=*7k8%JrNROlbk0hTfuu16LOLsg&=c`=2IB&7=Nl3O91oYq)9rZ2sU%A;+*5xKD1APFnK@}C} zuK{l{@kxd_pJo>VG)ouQSm_ii*@Oo?T|G{F9QL^}vDu=T^?gO5i-gZnI1kdpQ3Je#v$)WQ$K` z?9`+C%SUHKi>`VNB(vFBQ@T+S_vZg!D+p@#ZZXY7^cj$aF4g=?nmn24>Qjo2lZ z&+joDySEfK#(f$qcJ?-Wnm%yqfqX-ZN0KNTFETWnMOUnSok5H^I9D%V{VRI{t$NRE zgeHe>xZ20igdrD{C_4>FErKQriLrGo0IKH7?x`k}e%2&p>Kq9O0v;wlJ9%3rUz}?T>6*;aKErk{173dSmN)RyFOO1Z zr(Lqh1J9PrCu&UJ^utv@hA%T<@P}yO@2uSOVCoNh@v1lqv{!x{Bad`24Tb<~?0q`I&uCNBpk zx`#g~PI(QxAtphO@NW+P2z^8L-(NoA@2Vm`wK^{yJWU?q?_eQA2aWJtM(zjDIz0yc z`Z0ch8Or`}YXJRMIYI$AnK97Q)6+WGo4RK;DfP)>F(7mi-N6&FBNrjKCPJYU;Q|#Y z%2N;k7UjepWb15tUL}vv%Jg&_c_Ec4KPjtvsn1MzdfZI8JWe!XRDEL4IFxUIeQx4_?-=vO@&xU-TGv5|za%8}({cSOBcEN($mc zNB2My2GgUc{{+L0E`MvVOXqhJ`clf#x8=;_BTZw;PSV%8LnkwZXavD_L*rT&$LF~= zPt9Srf-f!`7$sXMJ_Rr;hEd{DedZGjo>(6UZw$woi2j4+lo)dlqqF(rLP(W-t1e(- zVm|kpHCa4vU&D%5Ncy?PK|F7jSNk41PX=O#M8jHL3_?>?uFP6my1BtQGr7yOz-;Ya zsT+zSQQE#}JkLHTZct0nHY|F}jKKRu`=#(nnR|8&8D7008JR3P>+{cDVxyf!9AIXg zuWl`C?O&x=Z*WN1lPLwV$Uq`gee@crZcHaN;%?KLN0A2OAf}}d=_?U;ej(=>goLObjT+h z33ZM8xTc*X(Krbmsd$(LA76hNJ63KMN@;I#_K54yyXcYs!BH67TuXE1 zvPcbi@sxqzCmaQr>c(KSPx%H&-Q(myx>@Kz{1;}d?j>lA-Lnzse6a^J!3iJFLZ*XMyd=HnAZ#BF4La^X|2;g^5Hi{r%yDX8rsE= zYycAoDN24_gjEA~8_uN^8C@()2!19h0hh@k^wX160(wJ5G5O?KMvGd(b=4-?12s~Z z02>nMGAfvQS@egcSWh$<)Ryh)38yZ~y}3->G{*Hc?mg0}QSbkV{jC?tu8_y<4w_;d zcOh`kAVJCOPbz&1L{R}2U8wABLY>mWK>BE>PDgm-34cHCp{_y}8cNn8-xMT2PeNO$ zL^M0Pmt4-fgVANQAu{=3#=dq5t}xNDV{@)A~uiO zDry`H^cPWFY#^>W|5M!6rUy<8DoE%e5i+ZtVrdjX%<`^e`jfaeDy(A4<}xJZ0E2Ky z-De`jI)JX0Q1NNg?*pOa!CfI$Jd&g$krH*VwEYUXaitW)q62M@&CbQqK1u1}&@V}_ zVDcE-F#@K&j4^EeEPa~O#WLAq$tPN=jDkgcmaEVI2-uKyVx=@TKhhuEX#9`Y54_=H zn|=q&%)ewJlE#*vcY%BGVt8mKYUcNE!2!;WPN-hc9y--(H1+`YV?Gn-= z!HB4}O>M48Qhy6j?f61Bahr4qJ2_#3Hh~Z0ThMB=P+QsZVY}{LDqRJI%2&3W^+$Jt zlvrzJ?!-DW#v+l)D;>DoO(@`q3yiGdAAj0oYK#WO=ldBG9}G~H1@`}^dnQr zA#^2J1hSfj4SCi2TyZv*Sn`5|Ft$XPB_XDY>z*3Wzhy1B-tkEyQauG(}-|Agm&UEL^sL&n)hkD-bIv8W3QQ~X;C&#)#mP~{+k^W0 z*)Tjb(jZBsE@fY9rgY}vvqOeS?iARCvWW z(0;A-GGCpls$!Xzxi$AyTuYOv2HBHQ-1U(TQI8}@;!Z|?wTK$1GnibZWi8X z#uiSQ5h|~uuKwG7@_fJ1VTm%~Q+F5tkuLli8r$=5XU6rF!xp|W{yR>+{oSPx2ZX3L%YOGg*CjDabAP_2pEssPoV{Pd`nZt`9%IF)}ZMF~T`V!v>PmzTv;{6GxYciyohmT$C`Lkm8``IuXUpaqHYWrG8I z@1RHOeZxTg9f}=8llk-I%+L8$7uu&lB$LKjE{avtTq*3A={g&V>a#BB)^sY9lRdg(1t9hR#~%Ris-D zsG53YSdYZ>Z+F*#Ud4SmED?3XJ|m^qu9qS$A+RoUEQ1QWv)wg&U90>!I&0ZH`a~av zaNga3V#ltxT!kT57PVWb&%&wfAw$46_n?gB~E@f|N#1p$D~ZdF1_lCc=40 z>I%W7Y`YxS>eZ(B=wgRl8B2?Y@L(G>VS_~Gg68fV=A@oG3+}kN5A!2LhY^KU6FODc; zQj4)$Rh>x+{S4%6UJfSct9dmBzmT|yIG#NRq3(Y9gk(g4!r4?4IR27e;IZSN9< ztV3|;GkQvbKqz5pj`)~IcSB69Ox)aOl8`oVs_eN<-*?}#nFT6MjJy@WlP@7vnYTC; z8Mk%M>zFNWCO`nIW1kPHFK&|fCg!}tc)ANzt#I9`4?t#A&YaIUi;&2GjMtPcCbdA}IkpB6y$cTX)-P!fB zwDfaSmjBMj>8JPHa}wlhA%>H`Sm@Z~!WIEU@=xc|=vBFz_^Vg@;vbi-iQ5U8=5y{P zn-+i=xi5i_0Atvng$5ultZ2bw5XK?VUw}haAZ+(;7m_1btG2@LVJ`JB(u{7s(q8XA zcA9q|#%20y*w!_x6J`8l7(x-c29ZUW1DQzg<-6;f$cWIkX7v>j zn2bbQUL6x_>8rbwo6DGNno$O>O1u7Cm%(@2v21ME5;aZ=mloGA+)c^z)vG!1kXU{p zCggD6-7?4EIiHl)y&Th*L~tBEu>V?9Oza*Vtij=A+!e!p;U(03`xoQ=S1qCe7&tju znAzHx*gESOo0uB7SUWq>IvD?!j#oN1b_eVU-@d(H-~>^?wgDFc^K=hD*w_40jZ+cC zmf~ZvXy6cQwsLazXGQTvW^UIjdMFZOj{CtPlGopir^C+s4k%;4%iGkvDxXpbHhx{7 zj$d(~z8+sDnVmmZ_s0j%-+Dhsbhm7XY-4L@Ze~C6+@H-dyq7+A&nt)b-{0p4Q>9l^ zLX2i1qikb#sV}huVTXIH91=h7em-6eGJ}*F+F6(EIqw|f|4>6-vFt*mwT93HSG1c{ zrWJK>>upaIn_goa?~0c0pRX5=Tvj&alD^fX>$p!mD%ecA4mD57w2x#E#0Ey6YNwLa z@9s^G$7)*KdhcE;UN#O_Cgjvs{D{deudmM;5eYRuuP)fSJ3Bw(wtc^W*U|KPo`U{8 zJY}rFyQRXb{RAKPoXx(qWIfw)Jlg$ptlf3|f_1spg7G!8?C!JtSeX8@IQedL@>_4S zGdY3yCZ}7YKDmlc^`=$q>s8@Cxma#1uSBi)?lbv}XYAfS(i!LWnr<^$S&?vgyWQYf ziu0{1flr^SS$Y;AdT+w?vlQ&w!n7-nz5(2GdoYnto%#;k4bwwxHy_#PMfHl6ovEb0;609Rdt-{~S9j6Te$ zP`#7<*S=(^5GdC>uURSl#r!8UMvSSY$5_xxf#<;Da8M6tnbDFuYm5(%*uz_J=9nOZYLC@vdDTA8RPSVibPH4S`RA zxos)02|&yVuuD4iO8byS?bNY4b=RJ@7jRIZ?wLIxFy)-XOs?FBmoWIv*3@T?73zLABD2_il zs%*QpkBkXx#M~~E0 zhDOWRAY}we^t&1FA~sb&=XW?BG-Hpk$^(#(oG?2vL1) zG_R-2&aQ{s`}=-39zyG`83Ec%NQ5KRW*NP!MX)}UtZYHBpsbfMBfJZPJ!i3#TUn|3 zfpkV^qVp=oGm}=M?bhn47VY2kM)IyzXkL{Pd&Ag_QhdQ+YV_8 zGaxOz8XMk?90ZOAV{&o*dM~~_CQUP;F?GCg@WR>xvcJGTW4i=eB9Re!(Mr*MWiQ5=BF{#z3+(yr*^m?Ig#HX*9iFy0F8H zeq;kcRZT;qNffAMhB`+s#&8AJPzP-PL{NLphbTZd=TGro`wCh%rNUAz;e6*FJ~kGd@Lnjs=RaZJD_w z9v@`iY*&?YqSe?!_2~ogNA~6gFiui7(nPxQImWG(Qa1CWzx3Bb#W8FTpF}^gB{tk@pOG=h{gtFMkFg$dVU(7!Gidro9|k`#+mg)j>Ti z>7FU4b&93WnVm5AHa*M?3XTvCy5o-x3nIerW*{a4F6p}#TgZ2=MfOBqwS~3V=9~xF zRfMh3_C?|^@01h&T#|Y`(v30@u6Ie08DRp;ITl481BJU(Wi;9%%ORK_6a<*v zWn;{r(bB0~{2O;#OU+ode$;*>8{2*11KeL5M}7;k;M5Fow)Kf9Oe%?>r?i|O+%7mq zi#!2gb06(u53Rdw*)V}@Zbk8Mc*rLu-~Mxdx*16oKOsUT8NASI2N5c+y3tW_vGMon zVqt*^{)=$}59vV6jPL7lIOwewqsd@cnWa<5u2J0%l_pTMjK2)d40t}FmR2*EFi(~1 zQ&)=sbp+oIf*nk!A)^IUDz)Iyrr0<#Jk(J@-6Uhmpb6#-lIjSEGad6SqkNr+tk;Os zF)M{%ld9Ual(=Ac5Wxzow-F(DX$}(;?3f_6-qMMl(dGVn(QWj+OiBay@b*1BEP(t3 zg2@C_oq+`U)dKbrI{w`uNRFwbi&RYQY7)TRl-kThashI+-F3zw6_!zJa@%gM>- z>FnI#W3MKsNnyNQXZKPyMz@(59X?vF8ppDxJaltEmxlrv_6J-N+H3S^2ECZyc|(n z(o%WCqswZ63Gr{nX6e(@O669{T2!>T%&CUn|BVy4;#xN<_G zxV;73*gGSvev~DNQ%@EQOF^uS0{AUVFBGDv`d!pY>50wMf(eCzi%njS%8*LJhkf-x zFpm^nZ@IK->PjlxO$(m4mR6V<-Vhi={GaDxinQn}sg|L?hCq?@&3Idp9-$H6olg#@ zNru{Nt&&*uIWzPIZW~g6cTygrZgQ_cV!kim6kah_buNH9*&4Q3G7xX)O>r~_$R($e zhde)a>r68Ndk|7=rYA(Yq_@At6Q%*j*=j5tBk-?|nG1Th&e{3jgGQRx*CHYIZRxg& z?qM2UMqfpS#$l#ztJ*4D|`urobdbiypnB_PnixN@jHehD1-H}NUOe&fW zUEs8^swFROpGT-~m(@i!6Jx@rl-hcOfD8gOew)C-wvP1ix{)4T8-rnwzHD0=*<#fC zM>MlmX5|T*EmD0bO7^XR4JZMH3NQyfj~1ejh<*1+Y1g;vp4$=i2mG_%nah-9nDXp2 zrJY0*97Km1j}0HM(!#1y+onN1OCf0CQ;sxP3kM`h#Qy2Y&{S+CCNUaa=mg<$ReJ;@ z5AH=)4wsx2Y-S|uz>Ti>@;^_cB@^jN+36hy%m|60qe>CD&NV>oG~3x-aNH}r$G6Yk z#K5l{aY0;%{s5BMg);#hO#1QQ1Z=Otf7lthj)A5>G9v?i^~Ftsz=S7NS_Z5$tOreF zu8loQQnO}>bcG&pxkwqFbxGozG6>mby|A+8Vm<=S@I7T(`2abORxaBcrKmx(kD2#wGCrQ`w+?NfBLJBrjDzaJczdg#!5GA(yNm) zp;l-#Yas+L1t5r8Bmq|!qf<1|F00Fkq%m>XwDl`Ukca%G6r?O=3&j5FwZ!BA&H5z) zU|fp5N-r6~gi$*4#K5=%9-J6>X}xD=bf=4dY>r~hj$|+!Z zPFEsNB)Y$Rysp}^dfnF)sZ-zvhw7uL1YugOBQcz{rfHVp$-U@8T6=>g6N0miG&vp?yD@*~@2w-sVA~)pLiDLa;^^>;sTL4j3mVid z-GY_zeyrN8o3$|zW;AG!FKbNAgQ7|)?{XGX+vS^)coL~Is!)`hPnS4sF^lyq?@Z(u zZodtIDQqW+5<~Hrss_gzZ6# zt6?e!*t)5R5k+^TF0psoZaEm^h=> z%`XPOi)^E0LdpPfp?MKDkqN)6m=I1xzMvvPop-ga&O_-}vp_=Ka#$o7xU*-awQ5^^ z7L@I;#m6?|V044m-@$4zLwc$X&Ymm#i4#Eg2G7Qh~CU%}=9HkaHgKD@U9Oy~yWN;^#-FrCs?|Bl4-Mr_MC z>rv%grbSyn?bvqKlf}d9#oEgPo5QnhO$1)4-Co!igY-`CnL$ckt{Pie4!oOM%{3nF zp1p|ixU;D17-2zKVFtmF<}fTVhzLV9iKn`|HrF84eEA@1{b$MYRw>o>Q(fv~hXq#c z2LV_#DQZk*s(MT-c9YKB&YQc^Y~Z!bgqL(xfSIhGd&5kn19p z9f3wOWd511c0dqzBsiOc-%02WoWEykJlSWBb=&XW$&@aZNZr(_#>v?13Q zvrNx^$<1Lfb;R~U>yhNW6&d3I@`$Uk60-Y)abdcyM=V{|*^2xn?RUZ(do{jz>OY2S z7>%~6Cxwgcv$Bs=7N82mo=IBt5n)rbx`7Y%;mb_koV^XMwp1c;-&>84G4(%GxCzgM{jAvc*nf3>5L=UGpV0IvB%Bzx?zsXXqu9OYyg1UaEso-hs1F`X?$C!_+s7i< zi$Kyg{Fx%v7=(QM+F>)?d1TM!5dP7MMZ2Gu=U8VFyt(sG!WnRs)ZQl&vgYKi!~&;a zFi^kJ#i-X|8;JT0$?m45Ujjm%Zx1AP=ML;;$B2NUg*f`To%#lRT^ap81zc0VWW z3{wnWgl%~(hnF@?m?BLj2Z}D0_^SQ=_qYR9^dGg~iq(>|4yZ!yY$YqZ1*KbWM<0b1 z7K!3aJxrr;Yhy>SIHqigv~u67586V2s~V&N4UQZY?qlA}Z7=YIr!igoFCEX$MASuq z7Krqr0bEJ7IdhYcpn}vCPYGG%SDOJ+yN;wvZ#3+M?Vl)HLdV6;3(&dsdH>}CaAZwv zMRY1-S4_3?f&Kt%f}yuh6I-wkM4j9xlT7X9}@RV|@MsgNNx>)-}$;8HD*=s`!K zSfihCfVty9;WnUl*xW&}2$kbe(FLbl2|;wW%|iTp@3spT1=AE zLDq8TU|#;v7mQ^jX>w|))qhZCL?k-oQf6#C8!7MJ{()^xt62~)?8U3?z^mM}Gwy358^nmy)iHxPTWqBZ9^Yv@^$kj^ux!55X;(Bkx+sqVh zr9da+8mui}7byqT4326A|L*A?|19f}24pv1oM;cr_c-d>^c%_c7hGN32^#{`P8;c% zJ&txMh?+WDrV{O7(z&P{8Y# z4?cn(-Z{wJ+g>ut5@X*!%z)2Zij=We43isU+bBCjm$3EMZGFshX9))9nFn6x;wR8< zF{4r@$NAXBn;f?DjlT^I3u|fLGJMaH=j+ZDE4ASw!wixGxs+DyRMb#}Cs+H1q40t# z9MS=C!P%93nnWb15&STkS`dOUYi1HvqXMmS=y%P0FDy#}ySn#(E5ddX{H*DI*xo*m z-rtu}XP9qWIbboJ^v6%%;UK)uU@DkFSB8&2-ev@vhpz$Q2t;1#<&y%iNREy98o1v3 z4*?Ln^NVKo0PqgOp7xM312veoLa;swF95^6dOKz_HwUcYQWl?fTEOTZhJ(5eh;~Bf zIbuwNyE`ACDR(`|#m~Pt5c#;?X~0wr3*Y4FKhZB6(Y7Pa;d(hyj(pssekC)*GE4`tvDLP65Z%i_BMQc310r?8$D;@ zS<;=keI4>9pq;O6w4CVBKsg1NR0Oc7=es3lP;=0O)|!mUrHRON*lEU?+!EpULnS(osUVBsTM*)eSoAOU(tVI|~gP@t)h zzznwLerQFTA&qJnj2D^&I=@yV+y6B>mqhqD(mv4SdU01U4QAK=LCtCl2zfYPdofjH znlAUa%QF(mG*NFV=o1es-;c{YX@F>}9@@Q*St~%Jl~uWwW)3mCX9Od=EEwAw(0MF1 zjh_cqYhTCAKV%wHURHT?>Kv|}%`_x^aGRioaq>}J z6lv#FuL5=Y8CZc3b7cUY)gYoJy%vCM=ED23)#ZXh3Wx9>(IJVZFsOqOLB1~=_&40| zw&_fAbI@fK(a3$gTYnbW{UqF5aURn%XI{-cDyVE$I%7gok;&_#M6Hltnkz&J0N~5R z6?~?f7d&N{7Qgk^4P?+gS2`FTPc{P*iaD!4JQ#}Xi5vt`7I$CRQPvBV*9O(%|S}Sd>fr7(D7JRDrK%Qk1lBtFcDeX(^(&8Uy9H)8@?> zx$4IQN95A?WwhZCmC^#sIsgY=&}~K++CKDi1LGVy{(zItzM!FqL?KB`n&aDgI@e_sjyT@ zy|OA+?FOp|pmLaAFJp7riogA9pLr|W>U?S;^>z+;=)8`+KuNQ#c5itSk!MYR7Jy2| zlh(5cgiX3>5bVM0Xc!w~UXs2bH7$%LiBieDqf)@SP9u(Yye=`qaa|IqAbW-KZV*E- zdv~@=V$A*!J{(~KDY~gq5y+9?9Bg=n^)e5n-0U><1z?sbiUGqu4qZ#$vUNzL-s_%w z#tObZFjUuD*nGPg@SD~UUdJ>zetB{<65P40-&D<`mz?9d1 zv)^U?;diM)C?-C$;of?K>(ITaU}$L}I<5X)=|o()3vvlDYGYOwVrqc0O%FjEJI{sg zb&-v1P#@N7(gh?!hgIrRLaGkY@gBu7I6Z8dAuY`E4p>_gG)ZP;@DVP(x=Hx`eCy+} zM`7yruo}KIwQy+4>a}1L=<#ZPQ*A|v8MGXP*=#q{pDW2*7D)M4B9?_ST_qO^^wp@& zDmD~#T5oHdrpRu41P-2r8F`~gR}F#=m5h)x=vfb=hr?EQ-(e0k?;!qz4!+k6*J?-c^>7OBOtZlQ{qG@g@vi1Dj7@p!!iH>0U9ZS#ryTiGNh>ayF0@`a>mPK6`$b!#vs`Iks2h#bg3Ui5$ULL9Tb{ak^C%^WC)+ecbac}e<8)}qz9Ol895pBB~ zsi{)=jAV%Blx*GE9#X8q2oL@`^9A(csiF3xzUkdnQ7Q<3yQZ})^%~F6Uf!t&#P_{< zx%2*rcYsLY3UFnPMO`b<^shXqlmK_f?p2pK!#B?l0};*EGIu0Gw58*!uO^`o8G8`w z^v#oV-hQ}2V+n^Vl&WNCB9n%6tI9+UkDCrCm>69SNE?tUTLvb8WC(QOI-QdaLU*LZ z^%Lg>#E^1pFU3PT7U$=H48oU;D!hdOB}Vn2qwGDKm^wi{WFix_)BbFLxt7KDvm_ym6P>H7RDMKI$UtndSuN;E`<{A$BGikbyM=@YHT_c@R@~TcDDX*)&Y`mz~ zm3FI1n_Cw8@fz9hUGaq!ZhBJ?5Xv|PU?U#VYj)31P$@tZ+NDx2U0GI=~~ z{mOGe+Bak#lWYC^Y15LU(glqhx(X957Y>4iGDkI(N+wuodP@=Y17KF!1y|Zrqx2Cc zah%!3)kDMDBo4w4#c=x22*1I)c9t8p+aKYcxf`DmUOJeBU-m`xm_}=j zY%kPBF5PnJ-~sSQtC(Bix8jP-eIfxPdqMAW(lMfCtR!rKpnsqDhfHXqC`GewzQA5- z*Ac0chH6xwOgdzufawz4C9!GjJkB#}lIgQda^jF3dS(%WvI^Le7F_c{WB)>Sg1`*ha}bjbeX=Im9!~Wo^MU;}L0?>w}Soz1fGaopkOBi zl8z|`i+MPe3^CMXobJ{V$jKUf6NSyVt1h>0zpUP zLE_Mi4O8iNi;MdoFQAe-VmCNi*(-xe`pvU zGe3%Fh4+&kRLc$8kPgHjhy4+id9VS8oGzh7L>y*3@9*UWlJ9Ubc76^M&C+B}xJ?W* S+x{|$N0-l+pQwl!{Qm)93~NUK literal 0 HcmV?d00001 diff --git a/Sega - SG1000/Snapshot/sg1000.rbf b/Sega - SG1000/Snapshot/sg1000.rbf new file mode 100644 index 0000000000000000000000000000000000000000..6e33ba4167f0b02698ea023e1a7f74d80d98c981 GIT binary patch literal 243918 zcmeFa4|p9{b?Cd#XfP*Ws5CkF8-yEXw#H(7;uu>=Q`N7poEeRrV?`vkF|A8eVha=W zxrHP^Z~N%`nwg`KBNGQJZoWMFO3SgL1{Y`vY0B5v+ct8dKuS}RP>2iz!Nt+Ln-U_Yxf=8gzguLBGE2n-- zUf!OZORiKC+$(`RPw=<4m!G$$x1LMB;Ay2pa7!EWAy1dKq|H1(rz5AKm7Y8;uaoEH z$JYED-$KW{?bf`!Zl0F+A)kW_)yd1sG0&d>TGR7$!6UFxzNAaJg^og3YaQW_l+D}A z&s(o0Z6V0Z$Wh=-W#;o^e%ZeVt^p)Hr)hqfc3t1@db-%DCmw$UXbFly5DS z<7;rK@tvp1v6VM6W>QyVKLv6c=g$ktKOGD1y;KO(jd{ zk-CDf2GoHM0z$(VfxMm59iImByqp#}?gE@9w9+Hzk}Sth0>V=l5Za`UjCYp}(*;i}UDBonv>q3t zL(**ECO}XM9n*ju1-GQ-Z7k-v9e6Jw^vJl2?6rKe>r2UZ==xc%o#o0l6*%a=Zr+KD0tBZzTO$AkP>6+zI5@ za+EnJAoR$X3$#pKLuiq4lJ?~IAwcMmGOqxnuE>GVlEazg;&fvq?YDxoC(xj%@!d#i zeE&rg`SoagPG?wGfi=L_x9w>(|gB{WD{4rilD^5@ebYivFj=JQ6{7TqZz=b}H}0sIRf zvUV#VN0G@KjyFNB%Z^9UlV@0wSN1FY8mDCg~3V zf>U@r(1go@i|2u)_JGhS>%oVcAnT5-GdW0JelEvSuvfP550vuD=4vaR(r@$Wh>}fE;uB zgl4fHb2@~-a-PqPoEdU0`b&;t4~b3_kh%U^Ky2N=1!NxPAold>V7`w3XF$?&I`X5m z*9tjpIbFi{KLDgm&M#SigbxC8o`YNqUs{jz&vUsFKI9;CAh*+`@6uLIhvdn1!%ADZ zQh3x0NSds{qTd8$Tt$Vf10+wK-Nrwyqw?>U6#Wi0lB`Cqon8aMvl@a0Xe@EkmDNwkre@}#Euq_^S1+ytHyV$ z{*|$l_FExuPiV>^Pm`mxBOvEOll0?n0FkR46ZKB{ga}KgZL@4xYF* zbB;A%SbXm1w#`edWcJ*=#Km3CeeV?AzPL)KD|O3jUUs^yb54I@FV7jp$qMZ!%qBi8 zTwfa{28XV!tt>Ha5gU)>PE(N-DBmeG#>oq%uzc03v7zFk>lmsM8ICdc>%Xg{$|*o4 zt*8$KRV7H=Q}X3vtyy*pD$f54%BnXgYLtBHuU6QWQbR)UW#Q&KTo9_)KxY)Fa?LGl zjp~u2#9-=~RE&Z(1_eB6XAtV8fbw;Bp;G#i_NKM6tjc|%lvMS%!iU2X)v%xJ*x#w^ zppFJDqiiTDz3ERb0tZc3IjFEp+HK4;2Xalx$)S@bg+G>xlv5Li0h6J8OXUg2q;k!J zVJdKAxQ_tQGOOpSQ3KCAv(wvHXf>qwiThL*AJ#Q`qQ449u74xUiY7ETane=kAI>)6G*V2DJI&6?fczr zLv@}!>t)l|S-AIS8ybGus6fz06;>(C?Y-mNY3f9kECh3({o{p_mzLJ<<>;KLsGP2s zZ#a6+xK3MvUbbzLUog8*%jVN%v~ez-uejdnNI!@4c1@)$lKt*KXpg{V$R2xt`&N=4 zMXP&>3ixzme?gm^jx(oCZ_JJJVZmY?ORLK?-WRNnMK9jff_ckKy!gj5gFCaR1Fgjd z?|BH@^!~toX#5uco>kU$&yVZ9?i26*GYoFZVts!#v#-7RN8K>PU!>KwA+(=ja5paQ zyE$X-X(i^IGM@T$TZ7$ko6N}0Lg%r^HlI^Z&RDozD-5@>3hKuH{?hUHPjdBiM)k=O zr$4**tqa(y?&-^)G3T+3Z57#5+d7?5eQK$NujW4SmW4BxlG@ItQvKv_FNK7K>$Gnw zwbfO8ahtboi#4h?E9~~z$|5N*@(51*g4(Bxf~yDqrA@1!aPtLQw-%m_i%M;$E_q2g z@fXbQW{AIX_@N8o?ly+IOOO5eibYM`#S}tUw9D>hf8u|9a4~HzuFM5nVR3vqS?U7E zPV!=LXYJ-#yG*r8;f=q0KDTl&jynsMYB$RkY-A~kZ6>UJ#%;Ux^^2FXcCRGo-R|xz z%zfbcr3l-?b=oX~>Vi61n9rw4ZTdaS3=%I`{k(27k?t(m>M|1{`a-;0wg;~|>v_)RN{{MaXB1@jV zSi2)Z^IDw$Fyp-JGhIIQKI2T;=aeVCY}Zn$UP>D>&xy;YE&L-iEl=Brvu3n=Cbdmo zC8*!#4U6a9?B=oO3*qjwirl;cFY;;jqZjUmzvqMK(x*Pwal!0vpV?iy@wFGdIJISS zQ{KFJy2Q(NFEC3aB=mvw%DuybYK1&&?d*g_n53F)!(9P5_*fOCI( z$wEwCTH1?sXiAT-dg(maw4l+v%*69*bBnAklfDJ3U)W(X#1oZEo5>5*YFUq$`heR> ztaG2a^5n%b&pMC&Y`fkI!JdJ+w`9Mb(V%I0Z-NBb|J=6B&b3s3v1-?L?#VgdTVGn( zd5)(o;(v}c7bxEDp=?)h>cZCU1qSOZNo^j>w%xW+7q)hvW#ksfZagG(p6zaF_re~N z1-O6aq&82qGdFfFxedb3N7(pB@=Yce9;uveYp}aTk1zin;OhE+y{K(F^3L-fljvL~ z;i+YB`r3uxMmUutXS;flYuB@pzqtGts}Wx*y!(#D^2>atsJ}SMJi-nH@-$5C_df$N7`qOzo=i^y(~d#seB>Yy!i^l~Saj|+);ZRAVch++bbx%91V3Nk3bdukACA9z z)t7$e{@|I~&GwhBygwMJ-5R``U%$EX$!hlNIafZpQ+_^YcXE67`#Gzg{D8d3d)1Sh zvLDbn@QvmV=*aPz+A%x(MV*n_!NyPM{OBR!M|I@Ap!M*2*U39&)U6k&7+R5|g*^&o!ZsZN8zVc)_Vy52M zRcIwm`sglQI<6gOo}b#Yr6Zer_ByDM`X@2ECr?|+Ud;JNEzujGYw}AlEgjq3s)H?! zdN2G{^_JYTpMLZkq8}>;)HO(2I$_|M+x=9dNqU+i^73wph8MK4=Uexeh4fP1#NC!Azy3zC?!6X=pkfI2HAY*|fAlql3- zeNz?43}y(H2y-{L_vyz8Xz@B58Y?3T4WE6MM&Gr+li9yZ)q1Bk`q=wWFCO$sa)V{d zqwe}&ZFy1Oa%sHfCz_8D2%@E{51_m=-5XoYUFd`#vl7{t3QA z;)(NfLewbyKq(tQ7bG%2mw@4vD%U^6y|)usyo_;6AJw_9d{eNu_V3(>;skvM|3dBy zg5VT_mWuET;|*j@;@pPWdZMv&J-POd4n}nzoM7a<^s`;gef%peMcUwv)Kq7~9`{(^ zRi_mi-d24oA6ptc`{~?Y{so0(eLxLM@iieRh0vx}K0AsRlz7wH0tN}>39J$2&iRSY z3yLo$Veal9$LROg=)$@#W2^fHe&rsYLactzy(ta97#1iu zRQsMCm1h@G(V2bFq^yEKEET#AenOlAkN@SMX*NGsd*?ht+$F09UWoNe=jB=8c@!?; z@;nE{mq1ao$|4C17S+Nfzq+Vmiz>88!WM<=q-U|H;%6>&fv_|4=Y_c!IQBxGoH?bz zv6dCRjrM~5`d?`Yo1B@Bv*x~ZW|q82rY-Qmhvvs$mX7v3v40sqE0Oo*7ymXtTmJEa z{y0}2zGOHiW_LT_!b>5}or`T2vPL}P+{t)hjh2UrUzmBWDX7>NFJ*BS13K3(EmU4! z3PHGF!N=2(*l(N~H@mP^_B?KtJ@uvciw+^3&eFZ{gD;nm86fvY8fQ!X?mYH$S2Qax z23w_Y=9ZrRA18OhESt90O{r&Y_UyUs*2HITagil15VlB0oha6Bj$K$(Pq21TCvCQ^ z7uDvX3PD)Ad@gmW&R$gWXDIZdrmVS`oPqpBX36V_D(&9!itW|KO?Fk$va@zWD&|*a z^uHvYXnX1S{s_)_vUcZhdPR&*8u81GW1rVY+A7C>*WVTV$H42n^>%h++w1+c?9sM& zj5Y3W?;g8-{1*S7RjYWkZCvwc+aG-H7uJ1B(dR!z)$Ad#3`OHnHm~>j#^S}5^ehFwh%}53O33Qs9O~-UfrV2YfQw5xLmfTWW>WT`%uINdXT3x-fRd=$h z*Vz2|JGWZvw0W(4)K_b-NK5+KgG5q_3R~P8Qu$ z9Y5-?-4mo)*@x43z`ywD^qS)6Yu(KcR);TIJ*&gHw98K>!&|E`D;y{c_uE~U2G@nC6b5tW1-mS=j<7$h20<&#`8bK_N-c(l~FN$W^R$Ek!?{ zgleeNKdu3hV}JwHEv1{KFcHYjnviC)78+S6T5Viv-vM_lh~oGEDZ7I$?HE$~g&?UQ z@};N{DoP?^dluz|!lKLZFCMG3Mg(hLb(bE9EKMB$v1VZiHli!IA zn{z|tN!xM3(XNrv#G^L%ICk>8}6zXt9n2#*_b6>fmL931v z0~$oiGZaF<25l^?;)~N}QWLQtmlX2&&UmguDVTv{RJD5g_lpcXxW~+WS%+LWMDd?E7l=0!cG|E?Oyy5!1zEXWch_FV3p7U6~q#&}>l$mDXxJE)= zG1Q^M(uBk`+m+c#cb?kBuMb-?--RcZ9rer8o?jguGODpr_B;yc+H_c+4(V#IoOlfV(3IDE>;vDCX7!k% zkus;?+uDL7I;L4FX(1bSF<8t1Nze|HTnG|oYerL~MM^**B*_@m0KISDw1-~iuNr&a zlt-~xd)n(YhV(sQ( zXa9&w?+UW(&Fg9JVxu~wjq2;X-}!<{>z8*IHW{{axGQsXH$CIJ=A|R5Jf#PU!yh!9 z`+OrU8*0-Os&7i?&M+l3-te@l>)Ujts9DSGy6$wt#Gs}ZmhaQ1V}RiZ>`5vUhBN)n z_;3_<9^Skf^JL0hUz}NQPFaFste?TjJEk0a-Ox&kbl@J^khUd50alHiBK9?meY|6 zmD&q|bhjQ2u?Rv%&8Y4@e&oINu3CL#)}k%`=cc>69HX1r{+3n3kaA2I0uw6>6`kq+gykHXn{2c*aom9w{dpt~}Kv6Zr(^lypM)2SQq) z7(*@DnZkes(n-#`Dc^KLG*BumUE$F7KFMcP%SO1@GwL_I>r$scbto**V8#y)4FiPo zP;g@GBvTs7AwJowtRXd&8eyo)_lyV$d#ANy(p1VwPVb?g-}>wKWuq;viB=MRah_>Y z=@sLJ& zr6HNaMd4j49E(}JD+%b>QckvPWG{z&!3C+I6w{`$UyWpGd|wB{RT?ttBW2@WJ*`!! zXVSf}RizL(^rbDYN+Db$8%C+0zDInXfoy~{Xhl>3Qkl(8Lc| z!fsNq9Ly3w=7}snE=a9Do0UY_T8w>R2ED!7S^$uDcpeVW-7+TVi!}Yn@;?Fv;t#Eq0_Wt<`EhhTShE z>0`guuSF^Pj%$D%Ll3it-dCkPtU!On*ECxV>5TTpI4_&@8S`n%yXA)kzt1VG?-Hfe zZ)YNgABN?cBuT-qTjeCPxNLX?Bj`4oNme&stW^v!7Hw2le;v}L8hR3$z$)XTd&%|o zX|xZGc~fEL4v5G+er1sZ&biYi`c*#gl~FY6phf+|TE-413PX`jl1H!j#3YHH&X|~q zOC}=pQpYBl=8)ldMkti1AO0jfk)kkID0YN7aweWQB}5z*oRthAAhT~cI2{>IQU#z~ zOkQ%SA~iCY`{DES+o~kY#HL&{b3|e&Cxx5PM3l8*$AU>f#8ZWw#A2#695Py)`|dwt z;<|K_VzGPJ#YWbBGO)_8yZEdujbfa04b7VG7-rQv5Do;?=w}p_#Slq#J{U7%F(YRz zk|`Wy5SU7yM+CW6w}OrJ;~88kwR z&fQ#q9#UW(E4UFh1W#;q%m^~g&4nL+c_kuk_cv-uZ3CCeF=To&j5Tl}bqF+$EfQvR z8yLdKhhTMJf|Ch$)*xSXj~2r?V;rJPravk!%g}SfJ@i+mg765WnLr^oBAB!zDa=vH zX;4j))1m6pA5cPK12nv&X+%kqvj)hhst5j$SBb=cpH!jFcM6T+lzLK9dV<3behFew zFf#@~1qn@56krO9jE+d%Sd^xYQxi>a(0X|T9aUvmrFpkzbQ`e7Nf2Jf*e&Qxl<22Z zaF}({B779ll96Mchyu;%7a|3mRIiAc9f)Ok)4N{D1aJiz9+*PD*mQ?0&j?e8X2C*k z)*bP@gmnG|ZKeWgS8&5r8DYQbXpbQ@so{AkV-$`s?&4L~Lx)(_l=#mh{D-Tb{X~b151dE}&S5n3l-18<#JhL3o^myg zz27g+wQT`5vo@J>`|)ut-PcEEnYfg8J7i&Ea>Q<;@3#7UXKacPspvT73@4}ygkfK= z8HUmYqFWQ z+Oq2|!co519qAJ7vJMA)H%{+Baedm2LwLyV4t!!ROomNU8@u!+UELjca309+VR39Y z+2unR{)gqgy*!_X{Zf%OOP=o>wP3qqDtAQZ+~76(9`!x@WzSjcq6b?fd>o0!E} z`wb_3Zx&#P&3Z4SOzC=5t{L^s!Uoj%-f!K=o7QAFKxqi`GMx;UK}A!vltHH1Nnz|I z>XD7Dzp2Ba3in5Uo-2gDsD9Kn`!-1uUJ(CG1R)1q^ICpv^y=qSmFV;xc#WR zxKMYo#5&?y59rAhr+AE3Q|h7TYKC~(*i>ujP3ABQS%#Aq>Y)S-v;-2EM>FZD3S()w z9vX7`ql z|J+Yige9W)@D{}mhqZMVH3SDRlwmM>ku|PR@eF(=JP*t1P<{BYu+_(v=%R3>&Op$F=mqiEwBBMyGtm~KGaU&>W7FS~N=LOG zHa2)yhyU^W_v++wEmEW1IxV?}EnLBV&AA1(*s2AF>Qg@K!{S33`>c3;QGg&4iz1w= zyTYqbd|}uTdo;j+B40#w{4k15#v$~}o;l)2N{u>3Z+a;D*r(&dT5(M}QLXq9F1N;b z6(r-%Qr;;7osBzl3N5U`D1Js3JctW1lEJ75)I-U2jH(|h1$J;qJsMGSU-;mp&SW{p z`-EGtR;mzgXh^ECv<9mw%i@CplVwUU1;?`H;!2Pc6NLbyj%5+8QrmP^u)y3CIuOCm zl+<|a`z9P{Ovh}!#8N?2cv%ht|3q&7aqr*JOHxOEj+tf}W1eYPskn|Fu zEk>oPM}2;%GMtHY82ELMUR0Tz&V$hiYlxaV{NGj0mw#Q8EDS|+APQ!6LxdwUV8kWw{a-G4 zdYyz^V&P6XF}fKfbcXJyOER5Z4MLeCKtC#$?ldlhX)>R(9A;%Ua~tuG;Y_?Xc&ONl zXPNP#s5a)lkD1+`2mkpw0Zc`{^Kha@zI zJDU}EdJ(fu6IHv#&;euPvzbc@PI_}6y5ruOk=<{ViRQQ&f~;eRgUA`vCJV8`Mx-4p ztfMi7ECLl}mxZg0I)D}xSBO*1DKp6vG9!o_Fo$cUXP+&K87Kg~QW#yEt$!l8 z4#R{-hi%%;1_li9F?0;Vmm=k&k7WuPe2K+ZjZMc0>DPb^W+(zOy2ae=;r9F2zsu;c z@6$Oa>fVUo55v*8Xx+YUeYdL_b6SQZO%%4u{c^2`^olSXPOscE67@#jsx(S# z`yTWLJ{w)*4bMi=)!wkN`F`*C$F?Ec`mjs|rZjjRcsb>wE;?9U{4#{-o(_q5yIA8E zVPc{ZQ*IfWVxuo6{4z$HNOzw0$kB=sgq8?jaNq>0UD`N7LTG$Y%Y+@4TXWr)~rQRDU1*Z`jW)dU;?VKWfRh8xev$ z63}u)gT?8oVFj63jZYp182nP?nbGJ7sge{_uQ_q&T}N+@Ugte9{AzEFOyo@(E^yQQ z@J3~=(&nY_!v*WR+MY@A!0zq8yDQCZN3%Hj{h8Zul=W^myti*3YvtAU)8P>urSg6L zSWv{NtiOTyK#%1<)0K4i0{pfq0vY8(9iI$C zk{AXPM?85vkuDthO{fW^o}1ErzW97NRCG#8Yut75kGYHNK~SWw6?R=4P4!AEQ`+%} zA>1II>^*uzGs(0FGqV$b#Y6D^DfSDs)-*c@f#%|z-)(a5QK}H zmFW}rPVP&SM-sz@T#4!tUs>ZO-$QG-bc54uP`KZ#t-#NUaIZ0E!oB=g%SJT!n$VZ3!3$C`pw6cAnDclTsF7a*Gsb0=AJpDH@ahJ8~9;5TJorbHv zY&f(nv4dJ;SR51?ovt@1f)HB4eV6D+J=_ZaQ*-csZz`%_BhYfS4)f`EKulw6RJ~>Y zt2+%?(vSs}`SensUP|0RdWMZk3&IvrhmwSTlbDIbOdHBu)F);-dD3HHw~}55ex>9Y zVO>XbgAD}Xj%d#PdG+5WG9` z=b~uPGp?CcgAkKmL)0!b=RSV925eL@TMEJ})!l;8nMb8VU_(W7b1DC|xo3G$qdQa>7k zY(ftbT!0fPTw?z`VJX=Mu*F+3IRaPM2Y?KQglSZD^>g?9>xIz5*+L7>PQB!p=ygUV zGl;T3IaSST4$=R7`>)7cIRO=hECU8>z%`#0%|)&P~ya%oFmt1(MOk{SX#5 z%y1#W;U9-74Dfa_!K(iHC=|j&Hu1*hV?Xms8^4li$ITLt(%j_2OwU{y3Q28|d@<-U zOtA}P4eL~s8neVOX=B=m*$=2we038S4QrN~6eyd%6Pb~J@bJq$-DB0pL=#H5fV(T; zq6Fn)1A?RnPQk%vV{5Nmis?zK;%w0(9;Yz1;UGphGxad)EP*;0){$BFf+5Ca3u|0} z*BJ&6Y$6ULW9v{0!zRV+Gb$43OfwWD+XNC*QRzD4HY9K_h6-jFC!z|g^|I)~w2 zHWAe-HvP0$Nlp46g#)z}BNVSCqbckN-BwvK^q4r+kQKIK}C$(${UDuBHplu5!j zoTcA_jdMC$g~xOhR?tRgYF137kph(S)wRF)Dv(LMS0d=L=FY0l31c!tLKTFK@Dg0^ z%N)AQ>u1IZKC8+sgha>e^qC^c50Q5|D+I_6XD6*;3DJJwQ(QmA!kW!~E%eErgjhmM zEX@QzVkC5+*ia6PtClj1r73)(_hC^Qq(?YYf--cBFci^&9jPG$OO_fiZ~FO_&S6)4 zMC1c(BI(E+MEb<~H)fqeI)snN3e|*3*?jWjG-HxP17nk&IiVkO6W9>;1r@U%(lNT) z7eSobY`*@oSLvECj3P0MZt4^|{VA6B*hpCTCj0ky71KmoN@L7d*I`;@g$c+@n+JHsCNS?qOAC)ksxEkqUJ0#^}cX=M_Ck4Vy+t#&pq`P2EJfq>EIv@AVUIS>i&= zT?w=$F+nK|RI9Qxf#my>M)GiM{6|tsPwLgT+5WHQkf3JXfrH*>^D|Ow*-UQ zz%(i_y@+%L#lG@U=2FuVlTcdb?n_ZLlMJ^sg&x6^oel}%)X}&h%%5Vo;uTRuY^O?3 zV~S;h=@`Th{tSf&M;ur5kv2B?2V?>xDfDA1^dke>K`x%u7gdF@-TQz&67aZS>WS57A&(vDpNjz~W&0ys!cN z0pl}(c0=e1L@p2vQ@EtL0gjy0JW@de90Vap^7v=_lM%APCCCUG!0kjxBE?h+E3@uXPZ+i*?zJ6znv@te{N#DBlNJ6-y&)+|$kJ{_){{Cd_ZrAR=&6?~V8<^l> zwC-B)h@Dyo@Lp<#K1=qNi2w>m^=LW0hkN`ZOgY+P2;&t9rK*k+RqWlD)`(IJ>0T=x zi4a$UjMYi6I-K6Mr#iysem!JG-e;m`_?rrcpS6y^^`PTx_7}LZq06oW!j)sucHO#U!+6R=2ePG1 z#+2>-T31;RJk#-No1YESJEMU+_b@`5aOIYJym~lV-4%wxmOar8_j`My$vx#S{dD1! zFhv%ISY=P}E0L{V_xYII>uqi$_S(h%EA674WuMs90N=AuwnHJau9ZK6!p*}I^N)li zLe&zjpvo5HCz3Bh8TSXzUG;~R?X@0pGrJAD-qU?CF$QtGj@jL}rv9bdZnwve=OBW*Uz{jx`lj9g8b5qu;w=-p`zV14>thl-ATp7%pj*Wh zKYVCPw`)duFcch;W3lYo0c#K?$`a%^bH^NS9tEFStz02gFDAv>h5h5e)kg- zUB$;IwoR0c@uFD7aK`7q?_lzl31yG_m<=S-UYP)i#)*}E@TTB5*h*QR@>tSjYd!?B z0YYLdWh2~6%tAKlL)O)MMmq7cua2(9zpsz@!H@}2)4H=T`i+NQz01!?BFCb?IM>ir z?;3}M_u4xr%2U?Cq##vIHoA)r%2Jy>?0`wKHf2b(HC*Htijj&P%*snN12(Y# zpz&CPPDSXdvcy*sj9=MrQP)pLteDe?4G*Q%Iwa&*8YSYid7l})QwIGSk0%$(Mld+7 zD@7KZx$pH=c4`rJS&k$K!u`AzEbFp|!O}D{AeJ!`3(mD%ST43?AqH$w#kFMO=tAwj z#!~R9$4mGL7y}Xl@pU5xGNi+gL}8|O9~ipmCI5c3?PvU{vFpFqQDcCckivGAeVsT# z63z?_UmU|PD^@9)SO43YD=d)9NG=R>AN-fen&!SK{v#fX+`>t8Vyi`4voV`(yB9Ug}5HZD>*0+=Dw0)ctwRkSvC6JKDyy*2(tV!|Mh36e<<~kYc-<)$vEjo(hDki4xRx5D zVn$=FLI?ef%`Lq(tBA~0!$7BmVJnYt=WGuP&+%XV<(t^6E4MC@S{iT)sz14^AjHv! zWqS&2L8t~iZvS~BvB~g(Rt@xdj(P3#7kT}%vd}vKhN?~+Abes&=-094K4y0JhFav; zkSk^orJ*%0Z1}ZNn#rnCI7vCH;0MbfllpX&jiQ=+?<14i(XI?0lSHj$JB8U;QW;+Q zEsLIF6H6~fhR87ji~kogaYZ;o`~?W~bx6CEM!?fjkxGsiRwoDzlBU^B#B!U326l~!TA_trJ2DXonU@Ze0Br#ii2|@@brm(UM_N|SKBjI1BMX<~5sidp z2$*+BSh>XrlW;O(b=St+?9VA2ya-2hSiExNw5QfpWWPZoN`Pm4#*B)mYc-u1%mWA` z?(}deX+|`valtIYG(ug>%6rJrUQ^E8FxPaevJ<_7@UJZ0I_v zD-%fA>7m}(n^3IMO)w7|TV|O&T0hnIW9mv>=rmEskn0p?>?raQ;Uu>-U6-IG(_Tbl ze}Q8*oW1A(_Bu(AWO6fz8hV=JCyXY96E@s*e8r6Q>E>szepPHl;DMP+IYA0rOJ*e{ zYzNC_&PYQHnIJ&wI?$_*M89HM)k#P<3JqPrTu3o7h&#oe#eF0ux?}mhREy|t^)EoD zLAXKQwn=42vqOKs?(%9Q0S|vV$w1M$W3|JhX|hsjS0`hMq4yu6VS)uZW(uF|6i?Ml(M z=_vHUL|En&&|Xf5ASAJKq5zp=Xm?TOl7cRiZ;9DCny&g)BoaZjieVV0o6T_USAR$C zaxJwh1eIm4@^n|Rvp5ji$bzzuG9sx8Qe}1e1txnUlMJf-N|7(tLZPrRT+$Fst*ua+ z8x5NYvqqi8*i=b1j7@r{#*e-0vlT-^Th5e4&`kyFOEdPsVasy2hRAA{=^XM{9|nCx ztuoQm$hS^?i%q|@N?V$iW^8D13VJk)^pPr!>o7)vjD{j1x?*njM`RrJtOOf+J=1^v z-*>G0AHm3gWnXFfyFwX<$nG{S@iz4T)$FZ}wTkBLm4Le&i>0 z%Q@C5p=XZy*Ubo&ISkBSa=$_$kdRDsSiEymz2%AIt>_?B5>MrY%rK2>sG7Ux(djpS( z^v&4)8@SJTw{>85Vn1Cw?DqB9)|f#sz&dcZE4!ID+9{h>h#-{{3Cu8vF*IwF&`&)a z8FiSrv+JtEh{68P`fv8Dw|TMt=k$;_GW6i+)!{&Cmisz4{?kRPc3}1I4g#OWslP7@ zY%AJA++}Aq`yS={swAPr(WCxt)~ZtaOzmd7l#bNKOX)kt5}X3beBsw^tWM9=Hrnw> zEs67jd)-2FFA5qq`{W^+$tWZelcJl`{nOkP+W%~%!w*-7`qoM`rgvwRcQ(BJ@3%&4 zR!?13BFt=}mUE zXpKK&*DofbvtO4a8d09wz0RH1myU3oz1EjLjdxk+Ee}V;y?ooWwsE;TZ}!+>!pk6{ z@x7_Pb_c$C`Mn25)AGml_-GogHm(`Qfgk*2^tLa3!W=BEGOi5@WxYMBUtZoG1>EW% zyu#bGZQ|kUqVmo5+lJRn_Ys_Nwm&*pw66`i%!9RWt}X@st+j`Tr-=@PMf=u-76_pb ziF%)H7t1*1#Be0KgTuZZ=X{)K88Hk858WT(9zKt()X~?z(5~Vswt>iY6fG8SIJ9FT z(OW0tX+8eoYL)7@MSG|5l&3e-;tt&MogaC8&$h@dKUXRZdQ*DP)SI?Pk!24?cj@t+ z!#p$b&g(YYofA{S`uJF>6zPLWP&LPQMuVvYF09U?Z;c<(#LLEgU1L*=#5jn%XluNv zX;Ju%RwgjCw^oqNH)R7O0a7{PfqEg8?HN@97gadpZw046{%h4D(W4^-8-^i$=c89e z)!Tpmrqa(wYoT#Rgxh^? z>IcP51z7by;yna=pb5`GHdnw|mgI0O;xatpMQ9(=gpnvSm>S7ei?T70nz015 zAaDYjo}9q_zcq!M8=?`oQPNKxe_3S}!NqM+Y!Cqu8s|K3Q%~@`=MjS%-y7PnuGnu4 zuIwJ$7S+4{dNo{#Y%3*JM>J^Ttx4*4Q-XM;1R^(eq_SJ&VDd~tP=@!LY}!7dvJj=j zDw;c2z`)o;pgb4EhN!5nD+i3ZD)U|MO6z+3A5zngu8Q>5RDJzA^-lJZh_HYc92Pg$ z#@{x<*bvhu5`<~;xucmZ5jGpQnFh$AKh;Gz=!prUSDicwRwp3(X}jPfg*MC~!ic#L zPhAeDz$oAN6TGp52CznL@eImF0wMk42XSOi%p-qR2^)bir3Tq>a@EMCe1+YGH6aV4 zy>(XEgQkQyvC+gGkO-Y17TIA0EXWrTy1aX!2*$mJGbQX0oklvJ)?1`977;^AKCnX+aQu1mE=p(FRC|QQ9GfB#i^}#;paH1fw+MlSr*fk z+{q}~oO)(lE8&mrNUNhx%}Naw23=9$|G59%pJcPIueKDTT`spA-6Sx@d9iM9zVzQ` z?#G7A7jTJUDu7;dexTrl+z#7ZRLrn$qblZX<0H=8@D&~wGc)jju9?_jp2nYv5?si~ zx=q*PiHWtKQ;}oL*nvv)x}#`Mc70@Sc%{UB820)qXY4QmvoxWuZ-{d8H_%rJh;;h! zk|#q9*btCG^Mt{8x0YFr)YfV^qQqkN87}7*mV-lR)=eZh;g(`m|2Qu zsjr*}WubzZ$gkKXGo7j0sZRF8%-CQj>2DQN+n%v*#p^NTNtR?|DXxvU@RALWMU58( z_bOG>>F6{A8IC{)-PWE!Ibj+^E7ee;DqF~Lxf31OIu02W27e^1MqwODa6q`g_{csc z1S3T@sz3aPi_)GuR;f1}j{7`xKVH^R&(SqKP%8SBM@k234|?%P68Gp3Mym6gM_J*+)($g|)y)_JElU<4|Rc7?G~G1X>- ztJmat`$FZBs@heJyv1cY2M4L*iPPeknxEB76#j zgQ#wpcA7I@)tL%m-f(1c2X?bJl76bh5|r>xk9E2b^blM_A&gm(U6q9wNkCJ_hJ*NI z+c9P&4Ufm~jO+u1e?-|=>+WzNhFvNYktfK8uc>0D8E2K1EHOxYl)Xuomu39vQya9N z^tRw@8*7TnUB`4$6$X%)sWx06Gy*Ks(FRY;r0oZ3#oW(4>dl0TXPK&1uQ1dh8X)$# zbEl%TQ7d4nl>oMED)3d*`TXIx&cJbai3F@6LqBdKDOsOrBQ?efs`6nbcZ~9oAXFCp z4%II3g+x4{aSME?m@+@LmU$J3HNroVWB5U=Q!|W@Pk%++UFf&RjA@#$(h*TPNx_}$ z)$V%HPwX&xP?VQ#2M&>;c)+Z4dzHwE!_%d-Z%;yPQ06aTO78m7?o+lpfck^9vi9|Ri;iOIH*F>i3%tgTb?4>+;x1m>bN+WhR4TV zWvnak2#((B?Q#=O^`?v@lFFEY(6&3H(ZG-NDl?iP^06z>krlE0S7neGM%LjI_qvg=8cpkdYel0Ucc4;er#ivu;3U(u?VR{T|6hz*|Hw{|5CF*7l$ z0`6DA=!B15F6?J`xc_Md7JI!mRFf?$_Irg%+aJ;$mQN?q^KqEcNGD8XtuPZI!KeKK zo9hzP&bM}S$25=p$A${1!tZ?Z#BxHQM#YCE+=-f{t15;m7`%aEXFrlVa7Q&k7P!;U z#fG~8mUn0o6#AB_X8IY^6u>q8Trw$#ol9W&+vR;HF54NRjY5ail~n2E(nIRdf3$L`k=ia zYqTt9mXoy~>13w*g#%0z#?JG82YakwXnDvb`(#r`>s4jN8N@=;8h*|?6p=3IoM{>2b zdccU(jCY%>oKM?^KYXa4S^cIjyv*(ny#ib5WFmG}O>3>;?A8NrSFO;`=*5LfwJ7hH z?PO(2mF5X{)yHv*!Q}CWAa(?GpzNW-mM(7!bWNgzQU(mgpIFfZ|ySBe3T_ ze}8;=X*l^!e@p0DJ40e9V_hSOw$tH)?prhKCHk@}tlzIC+x6qmxbM@?)V4j?tsnAx z_k?3wAL5?&ibzkqS-Mj8EYGl3Ln{KZ@8tfSuF8J@Ue{F-m`H^*csCnwqd(w1 z8duf)t650@VA#3stHFa;Sf6}L8b4^S(j5=&4%Qadd@cB5xVv`Xm|ktKdBh&pzv+)% zZ1(O<%gKEu{Y<#AKN+qa^2gpW5RDzOSDDFJU&-npduTN;gRecfdZ6%T`@ln`Zj1ec zi5=Y*94P3S|MTh~+`ifG+7tYjbz={C>?kc4!qJhTn%5WI;IStt@=V3eCK**{7NwNL zUC*XQstz3Tw6%XM`C@7g9RI%_dOEPvJm!=H{a5a+1~$>DpuGF=_?;f1C;7g)WPcDh zsF#iq+)V1pp{BM>&|G1Kvi`}Q10352H*^d z|!db3?*IAL~qIJx(xf$dp%{I@8hk z2ZmQ>VXIAl^bv`nb=kzpcqD7CzyEf-I&$#S9aq6zNL;--(6`pcci!Ma;iGE~S+^!c zS1!Gr;Kr8P_KAmonsC4o+23<)^(9|jTiX@|`+0GwTsMa=QK`@}|0o0AAi5OmjbG zdXEbBnuAOL#al|T{P@WIXLz{+fR>QdzA5s*xvJeskFyESTok# zrw{jRy+Q#mb`@dBXSDITqq57ZE_8zS;bQmpiGXOaAh_i)s6qf@K~xA1n{cNp!OKD~ZytI0H@sdt*M4jWsTere;t25igprD9Gcz%YX6>}umYwHAt7O|yz7<- zAf#rmYT=&c&gg30Squn~F=^DMv96S%>F)B5dpjIn;?(6`s@33KBF&LS1Igfh*0zaB zue)QgG{vrU%iGOXn|H$a?k?HZMPbw48d;rBMl~aOv)A3jUAXa;PZOpl$U_udMt7sG zM{he0va+rP>6ETaYu;}n)wf1l81AhZfhuMA@QWa?-WAj@kBBrv;tvnM%o;Q;7)Us4 z=)S&=@gd8Ye&=26iMn>g@P6+D+FVzt>#dKLDH$A+-kvJp#+juySGC zHV1di)^)(6iV|O?F!8UUwD9ov_IS*5#;!X^*<#Ic1Dr9eO3tD zIy(*z)vr(ON-)oy8Wsh9S6m}JhB+~e>sK>UmvlUAiE?2V+LIyHh?B5A3TqA*qA}~hSJ$nrgK6m47HgO>cc@xhS)}*(WMdyZc zAqt6pvDYLBD<766$L8L%5Ks-9;W@G3QVh`Q=3~G8!Ck>O`tA>8iTu))_Xi`jgTWU$ zw&h1=-gm{5)#vwxua9oNf*-oMb>uyztCHKtulJwXd~@l^5B%A~&xDOe^_t&T8O7LJ!8-JR89_W5x0^+w7reR;2gge)JBKc$}f<1MvfWcw~b5$<@ZRT$>A zLW+d>YYV&^S;xCau!42nN}U3CJAI{YFZE4c!I6+KzSsV+>b<;sIv}v3!idxrxDHHi zc3Kp%+9MILBCbHFL-3UFv7^%agX4evZuUVGT;=c5u@QH%KS=F5j4z+68C@>eYgRZ( zI586R7PYue8jDh`V&?^qf-uu?u?F;-sS@F(HAWnnu^BU;**#yNv>5X^bD<*}c-7Oj zlvx`w27*KTk-mPfc89TaW0TTsize~9qr98#RZDsD1&rX2(y3Myre8M}g5 z!Ur_Zf5tb*bd1d_8J`k9d`UW3?bfE^ZS6!9qS4LA?_SG&bST!9emZSKcT!<OG^qK(K){-+h<60hw(s!T2mFMzGjm=*Q7LXN zCVhl?M0mov@i%Z=1*o7ykf-d_#5tKLpy4*y5!_c5kJf3Gvm#mw&Oo@__E8CA6(~l5 zh6+-j56_kMiV{+6EZ{dgo;cX-QRhmS*lU}WVwy&i9_TD85_LiEg{Gb2Y~rpfwtz+} zalQO;lNHQl)m5FNk;nw$(O|-eR4*~c6i*G;pDw60=Hx(W!aR_0!b3u+K=0U3{f#(X zevhH-!0j|VX!Z%1BrY_orxV!i910Mzs9)S^c0~B%OwmlN^^R&#@l7^RkdV@|z|v-DL4shQP@<(FqBdL++{=Q|napU059|a9 zRkXDlD1<3Z*qtJExt}7nQ>jzL6-hyyH7@O`yIvWIkg1>|K7Nvwqakvn3BHK)qY(+m z^4N|1QKAzMx|n2TWO#x=m<0cwLL#gQCJHKHM@WHRUJ@StZ#(%qN(;r5bQuhUJE=r$ z3d z9U^D2Q5E3~4%&!%p?Ch%U%1_YcmDjU7ef<{_*{)Qy~pJ+XWX;j+_E+2N{JxS0xiEu+!Mv>5)3Jg$F@pDUspm zl%xL#QD_J~Rmm4`68vX}K0yzvbVWJM`ck6{Z*+9*?54>3E)vZn;u1Htz;hb>TI z)mOQ&HF76XP|_%;yM(IAAqmB+C{Q2W-u#EasUC8&9;S(-&>-wdhxqbd~(ECAj=+070 zy#^^M3VdM>!!N!X85+qk$0+OS)v})pe;a56+y8`4Fed%KM_0UtE z`F1*P$4JI&B$7kijyKFJR{rW8y{4uJe1}SnM22+S*$xJ3*A$NHv_Z)IG#S>u!R(v(J1audVJ|HmUSLC~LQ#eE2$<|&WmrH=j zS2`r^JbQfcJ$Qp61#Fl1P^HU(Mw*CGGrV4n97UqeVDYFb5L8)7Lot9Cns=oOf!9b- zQQht(@De<(2(8}!c?z}Z?Q5M8@hN_s(kVzi>7~t1hp7x-;z^a*seM((ubhdDnkgS>pHUe3$;9d>jkC8P9Cm%Tr=H+EBBCX0pllLs=3E}1zf zAD^X%%CG-aAun%M$lq>Q2v*`hRfxWe_s@`BBaBcKaj*9qpe8uTSHmevDl_NkT|AUD zF<2qtBG8uUnzsFI9{Nc-SM_#K8i+<%9MPAD{ z%8BS~V@$s2Ct!g3-|s=xz-&}_)vaV09XVeSA08=ujt|Nw+V9-!YHHfb zr$6Xc-ru?R6*AoJHw&-x^3}$RS7q|`tMyg8iudmP54-t>OHOmLSn0g?Zt2l=4*O+% z{{K0&^)I7+op=1QT^rsUZmbBkgd5M|S(EsAYQo((d$D7FDn zHci^1*rw#!Aajdi8xUpFq%DeVN}dfewT^rsUZmbBkgd5M|S( zEsAYQo((d$D7FDnHci^1*rw#!Aajdi8xUpFq%DeVN}dfewT^ zrsUZmbBkgd5M|S(EsAYQo((d$D7FDnHci^1*rw#!Aajdi8xUpFq%DeVN}dfewIJ5W=}CT==eGK_pV<;;J3s_GElA= z*DnvWO~73uaujjicB<)_Xth`;0(>mOA7JNFC9c4uVDertiG*l9Ro#aF>wo?@4Nv>( zcG-E>QPunL$dBgbStk0`Y~?hou*YZN=AvJ-Dfgpuj## zsYkd8p={PmQiZ~gr=3Y;g1nu%_m6+0lm1Kj_$B+?f-g!xf{#z0aDA<<$S;`Uh{y*+ zfjE&5-?%2?N(uP(6;TFJW72b7tD{}S@!=3Roe0fLI^h#PYtwPrfD6t5q*X4_O|RqK zH_{r@@TEBwMF^=AN?j@!<6zjY{vXPPB%H>=y()YJcic%Tzm;hl?j^wjK0bwrFqUjp zk1!PkOn2N=8aHTx@?4BCZ18Q3TgyI6nf|EW_B&&$6Q;~o9!{fmip#Hl0B%1$JSgCW z7|uLZGkq0jT76Y+k)x?~_rw^9fjR0YlCqFqq)p2cbvXHiXGh=iiDRWFWd@~lsR>!o z)x&i-!1VF~IA3vD2@Z!7&V3d}?D77DSb^(t1YXY6yBris{LnQldk$`)(QG*34piLH zP)8mDP9q9?6dEdQ8ZHDx&n5yyo;r*GXb?7d8iBEz2yW+et6?W+PLZmCXlN}OktylK z(J*z)Wcl*)d$ai=M{mG;q>r*eStVP@3PSQAY?3U;U2rh%aA`Pu=;~Cg>LE}YCg51v zKt)tQ@d)*cra0V+A|Z)%jUlL^wjy4XGaS|a91S{=-kn9pBqNm(DervepB|?d$Uxkc zXM{8^BJ?Ln3}@javId!r*l;9E>qSM zj|U&7Q!$w%t2E@6Syn~M#!%1_gH4_QG7JqdE7rjw9+Y!2SY(XV6DhG+Rg z99wjVf;lw#ay&%KdbZ6Xe=3qhh7pM_x`q~s?SqK9i#)rCzz%cOi&PAz zLmy6r_yj^@U1o>^%VncHs z;(}o#2V4U|nu`on+acVRx{tRIjb%+u^9EcUGaP85*g#$OoDR>=m~lcwdC?9rsLL*w zcom{#Wl(`0JjU$XOBb}zhwzswl)YAjH}6P8sIt!G<5LZyHs+|w01ndOpx;0QMtDbu zt5`LI2-Oa-8iYFo$7=9mjsfCQslu)iB&E#>+!6igVz;L16%t)TN5n=sjtopIS-4n5 zOmtKfp6-_{cwI#Z4}?9(!-!wdbX7I*CUqqf*=>$BFvL0{4hg|&!RIh_R?{NQ40yL! zO&c|}EXW#~=f3ry^!lexSP+t`5vpz>f{UK!$+|C9xOxPPMpa`>mh)-wyz;S6d^p4f z=c)*D_ym2VqAqAZ&+vNW5XaOA7n)<1RDd;~2PLEA(xE_Tp3Jn};H&?2H~18xh?Tx! zqP?n}i08o|vizwSG*pg&QI_)cpOwnpdrS}(3t<`YCqa~%LCO%0Z@tx{h(MV@r{d+7 z6k?kQ@EjUr1#~e@4$@{{Xcq2#>(fX@*iVv_+u=N%S=PE2cUyZUK+v@=Gy!oU49!6_ zc|_n-bAv!{pyLqS!d5J+x(1hOF@`kPMhz0;DK)Mm0{PSE9ng^%NmoAvhaUx zDo(AbAVTOGRXc~9A+Nt(0;qy3LKf37x2&i7Q5NlEkYr#3kD66NSKV)X5;64n6tpG; z*h0LGl!=fWO~@KO)NSRh8{r_GuBw3<+H7NJlq1(D-}DW%Z!o=rR(0Bl8X#UF!S@IW z=p9E%LJ*Z}CCt8qY|dD*d%)F*(idIip+0i=kA8E0XrDXNv%vPdr#ZXfFS+GC_LM(V zji!Be#vOge9fbNdaGtHC$;;KqsIJn?ux)3lwd}U@?0{`KZ&CZ9=iKZ)#En?88lZA^ zHh%dHeq#74LN%~LT!|Y5#4khk#$Ez9Gzh}3&aM&6BH4N+CWIhp9zNAiwuMN0;xZLd zJV$f%YXicsi3^lekH%**yX`anUXiEGLg~)}o+z!lhpvxS$T|NcCC^xGfr7!}FZHN&d zT^eM|ls`=qxu>Z8X1tp38Jfxa;X|x(G%hY@__^wP5IryVu5f!Q(w+*phrqM%eEKTf zUL6TL8kg9#@BdV^y|?c_&G1(Z{XC6GeV?^Hl(U%dmuBn3InFtFvDe`EB zhH!J&{GJo`&qi`6E}MYCoj zRODotv7$*(8xukK4Ci{?fVcmB9JgE*S}ibT#BvkY5V{PZxaz0@bIh|$_$Bko3v`K$ zA-EWyy!zWSdkZfFlDuW_IM;58o}0ekI=a(7y(-vmzy8Y1IKq&rKyavga|of|it^RE zt|Iv%f>;Wz5qrC|o{OnkB6ml{=0n^y)ld*v$cv=7O)GB0Z2?8(z()~&48aHxFb;Vj z`?U}~prJ32C=WoIKhDSMH#pi=C#+`6C4#thwZyT%MCWLyV+hCuDLq`jQkK@_)xy&D zGpU0?bC17Yu;gXLL_9;jZlnB1%eT`R2+FZ~c*0IN_*o~js_ZpC*QsS6SJd^23evWUFm&jrS^^XnkjMm~ z_)f=HmPKfZmXL^xHg?Tt@`~NsBhLtKp_*8zw6eR|OVQ!mS5~K7foO^dGl^G#-N&v8 zXIvCp2*ZbHd%BABhw6-9LyW(@pfphdDM1iqS_o8O*Md6Yh6PVkssyvtKyrjj@)C#w zs0fTOf!h$t14OS~pbSd}1dao#L!&u^LNVooof;!85+OPunW&4=_R>>r_ z-!CV6AgPW$o6?>?*oCNz;577&ma8I!qoWt8iym{KvLGx70u~ymnyctECicdKgySz0 zX4Rgi;N1>K-~%xrk&7=9jgg1AF$NmMU^5I9A2cFba|Zp52LR)g5OQ!gB1+n?3AE|7 z%#kmrZwhIQ-V`lfLl8ON6KIX)hp59Cv}{Y;g}E^7#EMfI2ekUGCPEEHUC&cu z+|JIZekfxiRcd0`RW!AoPSga%M50 z6oFMMA0GB5m5!j)ClmpFf4-7)L5p%dcJ**N((o7R#z36BHj1=QqQem9JOLG_Q@)P4 ze+(Vc-;FX?uyjQeB!ZGj1h;QdA`bW^LyQ{UA}1Px>y;7R9IK1~3&gj?!JiMJcPINF zJ8Io}=)2Z;_O8dzYdwiCo%ngruGi!Tr#Ry0-D9;sV9#cDG8KsLKVksA5B`NYND=i+ zE%B5#`;-5GQ=!;){`SYDzz+aQr7VN{+UjQY&5K`!ubO9%xN6=2kGO=i~&bUI`iTIB!j4%dy%R<2Mki=apX%*TW>iL7<6m2w$ zj3gofYEA^js=_rtD=Qqqm14q&DU=iG7qPGfV5mioArNNXi6!X{EYDy*G%d62Rt>eXQ`B#`#F*j|acG1POiSW3 z0lW?}MJqt1cZjMWzonMuYv6y5AAe}YlAnGQm#!z3KAu2U4*$PF8p}{evIj}RwKrFfmP0o%a zP}0$sFC#8P3;MB!$|&5x;nXB^3p)laih?u*!ea_**Jen6tUFx@5^9hSGdmZd*r}Kh z6}G;!eX!D5-8tO|gop$z|3h@$0!u<6>I)Jps(C0MAeNY5Nr?#7LZD(vGiL^ow~cuh z0{^3#k%hG7Vhvhdqh&WfPd$@pL;gJ87-dW?ybe_zY=uR8-6#Mw(qz@ZT0TPXNmW#W zHBMD{#F2w1#bEPTwQghA}d_T2|_Eph92_T;=82DB-+H5oy zv-0ITzu%f4V$S)j)o;ZMWXRp+juCB)X@!)Dg{-5BkK?Z6lwl17NM$NUtwV!3#;BDD zEnDoUFHpwVJeE8p2IJ?j;sA&N{H1~*lYm7dETzz4mAFDAk6s4ss>#tk7#?63#~@r2 z8QRSS;J}YQqBDfjOBw@c`VfewMw_qZFx5Y0VpOr@SK?bG;5XO|1Op>Bxo{T203lB} z$XWqiNQY_W3@tQ49mfigk4lqKuwB5l==PsHM6pN)qbT?pjOGBh#?&R)6fYZj52zTP zQZXb$&Uj*lMnE3HR3VlgK~#Y}Ff{IKTytbavH+uxV#zvZ3V) zIB*YB`5zoZ5z`!!W(AWM0$%zk+*arnNCB>5PF%rI1)j3r!U&=21zM<@jIo3MO8_~- zANWd|Xs?f3=P|StIsjT~mg3^z`QJ(47OQEIDPPgh2UI})nb=CMAyQ(-oC%E@)yL#c zD8!irK=RPX0})!sDIwgfQQqQQwJ^X)DuL#bbq1emkE4U4Xy4+F2tt5~B>vD21S~5O z0T1u)JDVi;JBm2ymnja;#Qs>uuq|rYJL#b1=)FXT^h0DcEnLV)HX9;y2(B8fFvt>+ zN}3W)M6P30P0kStJ~o5UBqOsDXeY*S>T%&rd8Bwzo=n^8BbW+7_o_c0Kqf&AV{d!w%dfD_wYuah|s`T z#iS8i(Dl(@kUcEn5p?aP|;6nSa_KrH%%YHTrqR)q$1 zpGa$R;7gQ10vLl}2ehyOlvrXXA;Ahl>JTMrcw;$)Zoy+9N_h9L{%3q49b}TZfatX2 z3cLaRLc`C8DYO&s2a1f*$D~w+N~Ayz57Lo@mzY;rS!i-?2#}WHR3a8RRMJzXBQqvj z?etmzI0{qLya>BPajX4a*HbUW73zKIdJ4k{)EbLH6QoBL-lPgaTu97isxaVsfea)E zWvAqP5Mc+wAS}S32}pyQp^WKFtn>|_R_Dc85%idlY@Rd~fzVHIR<7Q8#@*#6WwE= zhczFQ54N9l%?kp=RmJy&VBCkY#DmHp=Ai%#6AcG8C)|MqN<`3f(Lm4;mBAN?LpCMD zJOAB~llTzHl5hLuMPl`kPZ9aza5$8*0EH%Ow|KE4XNy;7opim;X6J?& zAuM-G4zY$j^`TSQKjH`Tvpjb>cq1O3+&vk1F9hVMFGs`+>67#Yc4TBWKS;~GO>>fr zNMmkDoZ)y3);_ohx&-Sb2a^%z!TEuSY1ca59_ zq~?4k{}9`LVK_OA?SYg8ZT@s|79nZgVoOjpWBPmO*kuB`RE z5$oBjv_#?|N)E|5CS-~3=RAA&|N3V)+{x@NlAHFAEDWh+bt_&FB2?wv8cfhHgxP41mLmB;D9I{ zjU%WB(QE~94&krYTQhj=9D-mY%yv)$NVXc3-iY_u!6PS`U2hFPG#TvYrJK=2F#2pp zMIlEeN>IB3%)?P>h^=(cx7WmF|I*U0&?DQd;=@OGxjAlKBF~WbO_08eDen^bX8lBv z=zpy~UUzdR8=70F-FF=PiW`DU{6Tdp{8=;HF-yw@7VSO$$T z0{%*OzxsKt_!Z2CMQLbztK2erd}}F{Tqf4(i(gm*>Q%~-@#Qb%jGY!eZr?+6M-nxM zfVH3#>N(>a_OW}mgI6X1fj|d9tvNug0NBL{#W-n-NFHkeMI-F_KrZd+(VN>LpV{zp~B#Mm6~aWCDc4nRXcE?L_F`iSy{*;k#NJg<7@!ppAxL1Mc`ZST zEurRF6L>I{AilG-M*~tD={W;+EZxoLAu^E=TYw@jru>66cNcV3w~W_`B54!kqy|U7 zT=m%p>-S~2wY0q`tR?JvLWqK(L$zQMtx8=<^mKh8vDc8=AwRW1tV5B0oCS%!pTb86 zvQ$VCxC2qvugk)%51kT5wn#yZhJ0aGW%I4`lj=2!`a+9;=9m=y$Rd zbO&6v_YHTv2QpEO?q(}p@jEssOHR+RGF4?W$QLGz#d z)O#{Uj_7n3ar9j&=2`gOuixOYZ~b$!v}a&E?j=|NFOecXdV6#LcSAX#zj}^bulvxP zmI>Md1sUvJ6o40tw}ctVAotMQtcmqDF-k4YdLkJcKyOJ?lv>1Yk{q-Zz^)pgzL_zh zaK>T_+6?jALC(4xh+H=kM*ie?SM0tek~3(pVbQ(4TmW4o`aI9^34)HvT(8s(+Glu= zkmiuW!}cx_53_%c+ZVVRl_31p`hKWgD50(3*~_4v&JpZA>JGD3!o`}+Sb?rl$1s2# zHiFzGR!Ef0;^DL=N=tl9eA0wk3ji-SA&S&2{(iYNqlI_=&C634!Q(Czu)HYhq!`D# z=$Agvn6L0UR+@IEWWWq7@6j$#9})<%D@X*eE2=}o#N5!tS_?m2P&w03(6~0Q^wgDh zpgE?*;+@f0$FAm6A~FX>&;i4c>uXKw)d3S=o59LX&Gp3q*jP>nq?Wf2Fd?PtNZZk(W5F!I#%^o}f@IM30xfL2AN||z%-!9EU&HRsY5&O~1%;jVpV$PG zyO(?EzZ%HhjIQs!d}Ybn`P_GYA)a<>13WGB`sBSDIW`@A>Exf|@yzS%Z)todf7hq` zt(~&-e&u3_s)y{L{;ZV^d|*XtJQmdil*dl z`ak$|r%C7hAD|ZRyyda#*-c(@GrE&of6EU(&9U>fv-O>v+Vwi;-#f)icUpf<)5Ql|B$ z)}Z;1lRWgzi6CpTzJ#;Dm>Xr~ILQLz0V*^|HOmX^*)^p{lZb^FBnWam9B=~V5!PvT zVjeB2>k>*g0rE4a4>3S19t5B$z!oEwXy_z!Aq_fMj!CdbKLUfWoik zIH`nmK7+Ymn_9z4Z_t#f zFS2HJB34Ei2IM|*rSFr1;h7=UZ!*Hl0T>E|z3K>#MLEj`YVpJUhL5dv@B)HpE87`O zcI?>DdQ=S$01veYM3G5A2=~zrew|t)68tNXpf=!0I}k3 z*nmXy;%FjjhYw^;z-N?@ZNL=00cKMDe{I$2@Kr$4=I-_q!%^cp1%Y`!~d>7md_cKhKP zaL-h1(6W&=%Q|co`{RNoC$f|rO)#7HSBPD*uvGeEzL?uZhl&OpENigbAl<0apqMWQ zqiaEUYJqtzK1UxCRWoVZpwk+3Z`lL3iGsoxXy6BOOkzzHWz+Td)&Hqjo6Oc90@%pp zSJ+HE@_KNGf4u1e81S>kr~y-l>?Pa4VCjZ|UZYSq^8HpYut0ia0>eCEKS4x^j~G=R zEMQ(c&8^)#lE7RU7SY+Kh`6QEW^Br{wDNpVkky$;XfI14&B3RB=LL56&3^z~1)w?!*1MR*dR}Gj*z@cn03sWx70ijuNE?VTl`{3_taJ_B zfDHjl%ZI)C3WbnkkTQ^=A#j@@L~rHN2@(lN4h$MBxxf^vHLGFD$MTzHsbLp% z#cX7hVqdS7=DYtuLk(e)W!MZbgE9gF8mD{zC4~S(Qy(;+x|rn#xd|t zZTjT`1k_X&BHv38(8$9i9RzF4%M*!7+HM#gW(ILT(UfE#sT%=?7G&fp07byv3N4pu zD>et^m=lS*wM@MhcGw!JL?$f~x%Q5O)S-s2U_H%g(bUexS11I8GE+3BM;Dl*GvG&~ zDXC{n3%Ci&(F;~=kSuI5eQyMCzaf}okZ6#(9ZX2o!+D5-Kep|YjTt^l`J28O1d+@O zm>uQ=Aaxw*Sh6i-k6aK@&eS69Wx$j?)bpd5%#uHh_X3x63@tNaYOpO1fngb{fq<>C zbJ>+lDE z0KB&LtykZRDFezHP`pqlJ@{HSs>bsy0;-4hVd^SY2$*ajl^8I3eLz;*S^^a3ru2b` zfmmKJ3!T}Ul>xyf3Q#%&im(WcDJhwk7y{U@g{QF4^3k=x%F{6RMTa{2?MuHiPdo{H z%&4J#Q#@&jMeMFwb2_5Z3m>E;81Sz4j8UDSQPIMZSt`vD%nVv{$pZyg0#j0~cOw>N zmSGDGwAEgb6zDLl#7Y*``5e}{*x4GicMG2Lv9HMe&=m5<6ZehY>!@x6`)Z9MI*E$-e2@6_{8eR-r0NW(Qs|S-&&<`3=%g3;g1?U*I6q*(a zo0>gh8;RN(Xh&WmmkySxwz8u-@&Yw~>!YCl7@yC2gsXiGH|jMWk_Ki={g2(Dq3i`R zx_u23F9tY@!{&9<66hl$ZO?FB4Ld9@9VCHcD(#Dp)J@z*%G5*$_)g2m1mqZzdbFTN ztDaePxrt#sh+UhAYmiLZ1J!QlJe_i@e(a|cEYp)1YpIswXvr!8UR2NrbZsS%c&ls9vJ)Zb z0@!xNw!_84xnYV8%6VG-GQ0cVoPCA9F$4F*?;`19G|^*!n!V}UwnBw+83YZ zb8j(g;Cf(Pk5N2C`aC_&L%_`diXbKQ?U#tY+>Z@6n2?{D9jUOP@64s0uXlCJF}JL$JSldcWt zPrLcd-^HkJ=H&33(c12C$s%VgBC|sSAF7Rn?rOHVRsxSt@;#qs5VnKsskyG1q26KBQ9g&>g7JWsM)*cUj%53t4`K3%DjJ+r6% zQv7WC93N)YX?a>4iqp%PvooWYf)|7G%&EfS>^@m7?g*ZnE?x+Bmq)JV3s-`(V)x`I z6^kD@V3U2(YUA$Ao~h|03q&okjx4#^$=M@urF!M5Z0t{#pHSE&Z0>f!@{hs(@1^Jq zYczLa$D@DBa&9VqBObqcB!>A_Sv^z3Gr0S6+4W~gW^#6v@X1`6@Er}p4`&&W%26y@ zSZz^tTWy%GTlLkR#_nBCydGShS+Z~{M}d~BFSqC!D}x)ACE}uZ1owYVlpd>Ik*)Mj z7R?@;R-oxR#CalpApp43`Qyw`27naZoj>g#5RZKSKxvHj?F6>?QY3c4YVGSgXLiBB z?PGsZeAqh6ihsu!2z{x&o96zR-N8>P%QW}Jp+oNYh7V(Bi9GU@%&h^ zc-KmuNfjR!d%wv}lz&KRKOm(mf6tAVmTMQ{v1_&GNENI3=LiD?@yPd;9br+%6JIah zO1}o%v)`Tpyl`zdb)$Xl@>1%5SPc%gmHpwta;f#;A^$B=hAA0L505=d@L=n~GpUdN zP>7wWv%oce@0-ih^7*0v8-V8W(Y=3Gf3@CfE1>XTaBS(po>$wg%DL)yci0ESuZeH` zlV9C8eFWBTSBvPL;E}9*t~${;l9{Nl?gno0$ThZiDMg>4ByycK>u>&)^xSk)#$K2$ z<*wH`3198*#^& zI3|};O_96a)|;uKY>~Y%0|gc|ajlv16v+Xt^?U&qmU|=pSZjMGu}*LpdBHYtk2rSc z{rzLK_*nu2%$>zkuyK9lDDfsol&vYrbrsE=ew)&sXHqUoK5NtFkai;;7jDiNn<06x zl^PRZg6s8hv5yaeWs{S2g?|;(%FGc~+XM!e9R>>`d2l9x73FT4d^!kP7*pqDO91n{ zCZ8oeCj>C~vv#?K5nH<_1UvI!TeF+lV+(eh{o;AnRZ{3AN$5$?8-1v>Kxg}@9x4oPDdpGdMh3%|KuOqcx{{_{i{@e-CVNKIZ_u+6 zT%nOxa-uGI#g0(gWLk@$LG%+NIA-1u%L2*_fIuQ6XU)cV668kOlrUg~@yL^$avP#g zJ1cWp7|=Vg5EnKExN=!F6{Mhf zT5=xh6>F850X!M);cQOlNh~QSug7K*It_^wEE&xL8#T0WJG9V=;87@!%KT9wlB3;C z!Eh#27iIH1jr%^EPzxLA+9I9zOw5EADgemHio}HEhlIm^N5^VYP{-(tdUY2AyT2PV zC?l`TCWu^h_$0yRU7fb92sQU2JVBBdD%g!MR@&UF10RJF81RM;ILo_8lXdL#bHEr{ zdBD_g12x0+TOZwV!@vHK%j0jvZ`l;EsDcvJ@8O4D5B}lZhJruABmZ{*k<;(skqU(=@WBT|uRJ;BbK(w8@piVUf)&6u{eij@3!L$6==;t4M@2BaJ zitmeJkp3Di27-$O6%s5I>R*8UGS)zSz@=brgawd>tzoRk=P7z$+27z}kZM@-nS2au zGio+CpsGaZo8dSNIRxy${4$P}hbbH#J;%poB+sUcc5uO#etBVsEUW=K#z7GrNU1&b z$u7M0XD)aBZ}Bt98~o`gj{M2>oyYI%$G*OBHP~=f$n)%c+8X@GEg!43rhx)YYH#O~umw(Jwb#CK0~jBWxvBb^X)mjl3B zcCBTVdfgVs;WcxPF417#jz-@TRhQC0m)LnMyRo0}hG%`j{X>tx#}zb5 zV8%Frv!GS|6H)S%A>E`+3|6zuThg;InfMJ=DPjxeBj7E5TDuzTk>Ikq+^K*D95{sL*|;mKN-4)r)xm9i~r{FAmlM122vd& z+P+w5tO&R%G)C3<2cBXi#gI+OhhR|UdzqlS6%~Bu*NujCH13<_AI@iipv@Dk3Y8fM zjP9(2B$}V^;R!y$0}aUGoX@_-+Vil(NHQGIrltuP;s2$za=e3=*i5l5}Ub& zX8_$~TW$#YNGoj;fa`!=VUN?r9FrtjRA77o$9hOtQo?cxh|j1|jyVNa3V%vySRa-j z5^CVzhYrF?Jh{X!O7K;jGscaXB|<~*cX9PZP?yTA1hD?LeStWcE2ghsqH zgVj!iQ_&()>w5^WDcJmlFhKOR*?Bgo;BlPIlK^6TXC~$#vqIZoTMd@b3pl+9FHZAOF$^jMT4Mp*8fmk~L|4*< z+42ag1A+{Lc>|4%>^K~(q(|7|Y&*#oMFR$IO|Gp>5}@F>Kky}LVF^BJO{_T!#FqVC z{jR$mOH1memaV?0ZG%~_3|JRz%Lmj7tK6q(et<-F`H~GRP0BO88pCp=YCMffw+Z@f zkjjIMtsV#(-8@_qJ`Y%vN+5Sk*396TAFyiN-4icaPSqe|0W5}+X_&dmM#i3vs|1^0 zSA#Rt5jJTAjcN2)A+s3#!98JsWs}`Si*^B0pkVB&B@gi51sduKJ!lSN$l+i-071|R zwpHi~sd1v<1lB&y8>Sk-CIOFPwd}-tWHl*ZAw_Xt5WJ7vkVYiu4A>Q6y3+{9hMxAX zVY_Er19%m{Fc=+2T@AzX>OO{-CS4q9EMt;NVqFZj^DsF%#we%2avZa;#t5L4&?KCM zrms*L2G|UWY#kG`2`ep3jv*#m!2Gb=@=O>|k*M4I!YPpnqS46H3AtRFp5Psfln~D76G0OO{tCKEeH)qVi^i6tvSOn zy+i;G_VC*&BrvX~a74U39DF`q0*l*B1D9D@U|+DmgCLPoYE2jTDlXaJvoY0ds8*;_ zs=h{HUF**QBic60aa=hO0UJaXmLRG@7}Ytm+C5t}cvLkb$o}-zG8q)`4?t3sl0E~w z!48nG+kf60Mv*0Wn|Z^QuVuD{FgQy|WqqwhtqF$jDF(69%eBuy91R&55B zjG@PgP=%^d2$VdqSp{dxd3}jO8IhrhnTj}VhQVVJEOMGugAE$#l@>e*RATRkU;GSc z2`F4Du`mjWfNJ5@nxH`Cz#XFyEy zPPnh}m0(OdW(dQ5?DzT-ruAm0zW62Oek&NHsSp3!AZ-gwsF+f{gyxAv_9{tki=_Rb zNYh|E%ECS16STOhM{@LL6ypG7=Bl+5(^ zp@7jKj`ezZA;$uRF9JbBC#CStEcgOJE~Z+o?#Yei;PZuGn&J4FVZo0-RbWLI`XjXxOr^QKcc1uQq-K zMM)U()lA4#uf)zvNRi7u7U(D)Lae7?o8#0Vx29qzQ>T(rvYKqWPW)eg~Vf5JQ08v3}P{87NjQ zR5}-ha_8v8!G2f6fZ?+`HRQox3B=!&>Qe%VU_yCtD5Y{ygwhge+curFSv3o0FbY~r zldocv2`n3E+7t}%30e(67FMh=w4g@KzxUFosNMTznvy3iQBHp@BbwF|IAnezohVO7 zqtj8Q{;E7-%|;)#@dugCGkd>lWp2fLhT5Z*_(RdPn-PE768UuFGpx9bBdxT4@KEJlD#j69HBn_X1j27#2OJmY{Exs{AsQe>S7Te)6>^f8)MS z?%BC7(ypb_-R;cR((?nBYaL0r)=-9h%F5f<_OQ8VV$EKmz47@h*=1$(?Ak(3zWkyU z+0_O6rrrC-s`Wbrr>2h&F>Ej;o?v5g)FRejO6&VH7zOk?Qinz8%*a*I=DUH{0f`Kv z>dW#R}I4*1sj6X%u_fERtWRKv%o z?9OTAbZh7#tbcKDxpQFo3RdeKT@V1uu8wAbIxSKn&x-wT!=&6O)-9}m*6CA-&cTNit)-Wt0#3L`lZPw26m;3nS@tc_>U~9=5P-vq`*@laZ{Z~ENddHTSEcr}xJC!-=VV&~3*1Hy z`Ky9gmE~A#Uv08oY>C=rRJxU31WS`K_L9t|t$XF*py>JT=WIOH>UmWmm!v1UDa^g+ zZE@`T|LX6t^Kh>ERyrfd>6kXRpRQhcSm5mMmG5UM+KGzx-*m@+TUlk@pNsFLGcb$% z*vt{RM80t|1>4BU$BV5YS$jkwiUBP6lJ`(kt99gI;ThO?g-Pbaq~8e998m-kH6b|f z96m)t9q1ZR6qsOLyOO}!>OxD5wGRjed`%SPVSqm(*@E$1S@L^90JN-gthIBD`Ltxf zElU#M6QHgy`S0DIa_;2qa~FL|CYGDq&&A`nv>yMT69RKX7+kvJM-Op=hSXu>_V?oe ziS`sTB!0v7CE>iay~j5!yY*X;wOVEZw?g;JO)!~@Vc@6W9|c>Y0n#kajA(%20MhOj zFbRd!BR2%46F^*#qFvYtEs4Z2ptV{JrhL)>QghZ`KZ;9N>{9y6j~LjI1!wh)SNdaq znDk$-f2@4uE0!J=zcLi-%IFlBUhg<@**?GT5&`shc*f0LOdWx03f|Jkh-(Sz;&dhM zJYtUJT<>tUKQ?GTj#t9q4!ez5E{jnEsK{8kfZ3E`+TSn22?0aBD}tI4>|Fo=0UuO# zL|qB+Ck~P*>r%4QE?u7f{_lUrO9Re?!6lH$+KxCLuODdv3J2!gIijnNDVWsgA>$*! zp%i)c`A1p`dFN2{kI0KDKwuFll{2v5g9bdEL$A_Z1n1U|eT5ldS%8}Y29UuR4i?vJ zobCmtr(OABxtyaII0wWrYQVPoa6Kx67a|;BjhZu11D+0+XZC*A#@S}tQ^hf70E-tz zbNk#y!JeFXuW(T5=@dn!@828whC0Rm6+(3Ip2FGhP`Y9@3Bis6tO+e6_pupt>`Yko zCxm6wPZ}N=J_q|1LPP8;h7&WcW7Q?>AZZ(Yj+s|AwU!`6;^WGr^$=C%rEailEwNv` z0;>S5LAx~%M&M-c$Lc|_S3f5uF?cft816Uz)$?f`gx+b*fD*Lt($F(*?GfVIwI2|& zYe*STDkJZuUSyG&PY`!+VS@A;^vGw4Hvu7%Q)zbV0Rj^sBLh^Slb}9!5uzRfw;qd) zQmcXq8!iOEx=cHO$+KkM7Q*R}oyel8)^j{aQffkZ0+gN#mxvv})}Umr*v*+A{n2~d z3P`o4@lnJO7@~cVf!?#VT@@<>BhA>q&X-Z73o_#9CdK;NGQ0^(D_-YDaCIQs$m!%x9JEo@qwHRmwf&LPemq(yD09lMVmL80n@-UBzff@OI(719&nJp0q zpmgy~L16)Md(R(w_oG21pQOD;IzJQ=0{jolTDD}#vyuT^BzXzc(OIx%)1BKMM0=QY z*6eowv)iHC;hs?g&M*v#1z|B!!d*d5*t1v~N?6_~bX2<`>LxZ&2=DC0>R7}2j=_{2 zXU9IjybU$ZgPviFfAz8Z;IK@YVhR|&}U)P5mb^Fpf01-t8KNS0|uFdnPx5pL$OILm^#y4usGrc(1d_P zhAm8VzIxA(uKsG~wT~#=?u7l?L;r3w&DVeLXY4EA4gI}kcYwoR4E_CodFUD7V+Vxn zoWK6w&(!22!B1Og{_Oeddp~WVDZj(svCur|KKbUhq)Eq?L?1uAG)B}Hqpy@UrYa0y zy76Nmhl~-GjxWc_8dZr$uyHC};aMtA{Szu>j3ha{SwV}%Y~h)agtx~@*fH1%&kTK; zPSniElQ^L$0WV|`lh-HydfuoToTq)45q~T(zQ4MXRK0a~g5l-GH++0PHC+je8 zQD&Z4(>c1t>|t^YA!c$oGxIBi3&&?>FpD$A9yZ_g|2Bm~ke0LLQb2El*iIDdWj~=n zHZ-3VK0_TNgqtf?K2JdmtPpiep%)>sPEv)zXvqQ0Q6fBLl&~kE)<}dmh^|owut|{3 z2dFr@SzAf30)l9AWuq5q^MSMr<_G`cS3{#8Q>;r+GSPcTv_N5<##kOL#xjn_N|*2* ztmBv)VRc4~rkf=`5Jb(3js7?$CQRC}6bw`oqmcxTAfXKA+^5YF06UJ!tEaMNsPoWZ zuzZWjc^nmoX``a)oV@#QpK~G|UF;Zzi0F`wk>=V$Q4Pj+%kHS5xkPog5lL&PgS%t7 z>A;bcC^hm9udiQ5_RDtY*Unk(9*LxR!){UXtOs z_h9;iJN{AAq7YZCH|7*{ZMryp)-dvd5E!*!ojaeSnoBj-Z}i5nN06brz>qwk2v9Hx z$)$gFG>#460|6;n?O;S)m?0eNT;kJi$qPc7!HdRz9#WUym%BWGRg>xHoD{l84bF%? z=@a-JJcSDJI#~$z`(Jvwo8->Z*ZXI12t>JdOvpXdaUcr2RW)nk3j~E zmGl8CxWH+;nc$!!`i9}_c178nK)kQf*VGSD3kjVVSY-~nuY6%&B| znrZp1(fgXp;LjVzfJYIbj>hL)uzF?^s6rmh2(h4w4~=~>UI>63t;R1OT%$=$x%dS} zf?!a*&${szwO{MmS6RsALI6x>)&aW^&a|CNVWOCzFpnlW8ROpl>ctvB4XCK=-$bbU z*ffZBZ7wzx6oBj?AK(p)E17~a9PI6&-GI2Pq+DYX1*$59rUznZ0xx2>AN{}$1{b&r z^%(UHU+cxJjE9MVrMfCe9Ua9?>^mt8US&lVLEJbHa<1l7xL=OVS%;?03YnE&o;tJ} zaHNc-5t?)6RKzP9ms~?@4Q2ls1Q;55_>>Er^MI1g4?f;K+S^5PIOT|G-bp12kYHLh zeljur7g%!4Tk#mVJ}!XhdJt zv~zAXzE1<#%);!%?jBU@|wp@|u48;6ad6B(?>5H*@I zKk_lSf4zUKBD{f$-)CwWVNUtd@>)v5mr2amhLha@Ou32k)i7%oRXrNCtFD124+1gr zBM8y#2*b{e=4*iI02?;qwLr+JQykmLqK=VS#x4@DL>`C)%Gs85Vnsk( zyZslR(R#TV!pPMGq6a?~0v%-7;dneagu=noa9~FqJ-LlWj^Vf<`*`O;{2!;%ot z5hN5qET+8-$tFT;$sM}EaWud&EM>SI8ZYW7$5&2PVeLBYQ7*uV7*_LQG@VYHfPhU8 z0~L6sB|I}|`gm7>g0&vg*D3+#5{Dm1L8*KR@vv%-}wl5$Rfl zOQNCS>hN6G)SBiX$u@C-)0{IfmEwi2wp(D7K_>WvA}dE`uz7{eK69^$!4ZTe)X_pr zU1AWR@|f$u6B5HrM-JD1>l2z}h3V;4XtTXKK`kvb0{&8za}ta%3~Ka4@_w`>f>1#t ze9r){`=WZvKnox)MnQH=vKiX9kC~DaUV(6gT)`OTH8>S!T5Tp)3G)J*g(G+W?gLbN z!lGK={g^Is-WnSrJ#jDO6XXT?e~Ekl=s1q+&bO!8g2##y1I-b3j3{z^Q*v^$AT(l_JY_92 zjMpzGXAQ!jEx*-UD~jUP$^Hl>(^;Q=*kOMBo{^X{9yQB*ld0LjGllHgRkblY(Mmt-|Q??*4G zCBKyaKSTzTVgD;nFpOWoW9E~xj$JXo#7#KpWG5FuO=7Dm36h!ymZ1}skBv6pZr2u1AewRbXk02 zPZ@e~b-X-({Hr~mlJ;PJnP89=u-Is;yj&_>eC%U-Uj6vw@p}EOpFVo6WFF0}V{?_vrJYdUUDrEp_YVhyK@op&r^Agt<3PkazzH*kcxcSv>TA-KT7n_u~0V zRk?adq&MVT-)}6P)m0ng9k-h&c27c!1hAN#)(cNYFP7InG1{|F!HFDQB)a-R@U~CM zizC0%V-D!Of4l<5Xp<+NEXNI4gwtEmsn+juwS{kw{=>%&AQdfG$IA~d?NfW<@}2*vf>Kj{?ia=0kCi|0M?)f*p5u$7#0?+m=K@oF$AVFNe4C$e zNJ#jQph1iOr4xXAw(7PA+Cs!SBAw!^8h+kO`!!u%vVfw7k_95-H2WR_Pg=hRHd%Z7 zn2lw>XJ58f>EMp-Pws_!*Y1II`GvW2J%(uX?Z93ab^D%PP)MMXuf)(Jd&Zy85^?vo zb@dPT7Hhrh_nf*EpQCRsxd%tf<1_W4p1$do`_<|Qbg+FYm-d@9&(S{&Y1z9ELX5{Z0o?-$Tt{`usRE<&b=|i=kVI%JQ4T>q0JC2J591 zYqn-=^2nu0?8i9pm>R0I3`Lk|U`KGOFkq$>J;H(@?} zeMueCdo$dT#o_f&aubj=`o#Mu%PVN_O56tGEYW9iVmENy7k`?}s?tuM%No;teR~Eg zwYKg%f2(o=N56AD{r9}Qwvow3&62nlx6#1 zC|XE+^7$rng7A5ioK`G!LH|xzC_uF@KgOWEbiZ=T<8w662+mBVU#z z?>7?mBz7~AG!Ko0{v0hntpHPd^LX=ESO)C`_w2POZExMr$2iU?$UQ@0UwmEgD`z-e za$u%j+cdFr*%MdC&j@GjNI8{mEprz=XM7KSf%EcnmY^iQ1VX__mm(MbRC>C*W)^wqF(59fr3p6O+af z%I@L~Zjn}{yDi^me&9~?A0bSBCl>uw{0d+vJjwRW;P3C{N%r1EIiX9w9sT{^vMt}? zNp@n$zr(itt3t^C7P94Ci46mX`1#|>Dg9Q5ZF!R?`Fi&1&5-uR@8(H<^Kysr`IpSk zL#M|7o3{KlS9zOlx%ihoQZ^F(9h{Sk5Q(n-j2Vp7i}82;gTrJ05rY19PDoNncIcS; zpwW)Gq~om^uf!si_$fNBB?f1JSIUN1O2)0MAsNJuUMs=5>;T+_z!WY~kU*P)Gcld~ z#sB{9oE!h`52o*5@d)g?^PR{0{@go!8V#O^SLMJHkeFoeLE?IT;3`Q}c4Q!#I{~?> z(~3MqMkP%Y>}ff;Iucc5F)jS?F29u*%4@{JIW;BRnoB7Qy&t!sIbZWs7McCgP2yc% zIV05ZcqU3{z%CuNyxBa9A1duRG})E;&{Ha8gd_7BnjeeWmj0lec=G4IFOKO%w}oiH zAIVKsY~dZM1T1O$K?(Sfb*nPD{sQ2SvN#;tZq<|`0odsZkkZPk#!W>EV)>wH@iR)) z&P0r#hjZmIU87}>!;E4=j6!DfRO0b$B`K>`5ASAU*H8UYxBILsC#`6BO-@Xcn78XP z`S^S{Bm#OH6hrc|0OySRIv;yO#W`SC^>9wEy=tMt=E2Q3Na^d5(cgGlsFs(y&{omzZqE5VT~N7eJI)h=PJZ z&ccy&AO9EVrMesFCTdO)lUikVTd+Bh2L0liWYG}em=In@*q%e8y4032c~o$2t0W6M}B@|WJ-!LvB~L89GBO^8a%D;5|qDkG}-SuSZLtx(S+NK zXH_87nbO3?5{T+6$3X9z`=Y3x3(;0_(r}Y`l_0^yQB!6^)ZqHUOK}`yGKL3~7UteV zWuxY|ri{+wgt%|)r!iMq_K%W<#kQK9QzuGM-}UNYXTY7@1`IBo%GRFAX*DIOl(H&; zaHT&m8inGtAC2@|hdvfT7dy(+kq{G`dn&T2L`81Si}7OXRX|ouM-|_d5QMG+>5X}a zdbw#>F_vkyP|?yPM_=7vi1x_jg~-z@9F3R%jUhKmawgg$FItv@ooKULwst4+e|p8L z4~=51oYN(m?>Nu-$*oRUBXM8u*3keWhnB!K4vb

YS8`Gf%6iA8^Wl0l1>L)CnylT&y6@y^dRfz z+U=Hy?O+-1|dro0h@F`2EDRGSWoJKUgYI4Key;-Aff`c*K{qGF&rjbo*x z8A)(UUG7OZPWaYO-5Tro<*49fub2G|5-@O64Ma<3?yP#oY0?&SYUzFaOPQ1Y+bFxx(>(C;hZIN`cTF& zH=@uutVZrA{DWV=b&{5g=cB zPB)#zq*etqr@;2xis3jE$Xg5(jt!8%9mZHC&S(GLdvb)!!_aF$Mpf+P7Tq>is$hi! z-glcwUW?{b5@;xMsTb;-U$FPG!x=e%S}=K|uJQqpr+%9Z(g16%6jD=g?nRQ0QU}Vx z9vPt=Khb|{*xfaiOctE+BK;`)hK0?l63THi?56CzK+u`zca$msy>=v`^1YhTlG&5e zEAsxW(+TFu;ml_dMm11QkG=kgS1*zsbdt$NyH#*7={uN2Klx26(FCwcyfiFq1##|% zK_LhWHIIvARv!F_A1@1UV1*F2w2MDCqACU*LNzheP_pA1Ahruh?!qm!$2pGEQl>kmLwRi0kKN&oe(^*EscS+lt(SMksJhabDi$0dPnIi56c(-ST z^d9JiV;BmCaMxqIhEb!_K&T>hQ_c)J@mH)10AsFYB_@IY|pP4rp&>-St}hnQL_DIbQLt;y2zO^h{`_r5e0CayJ(0A!p#0j zkc7IK2|y*LX$1|lOsw=SZH4dqnRl_&hG`*aa;II4rh|`RN6~gN4Us<@hskxXuAq)J zj`VC-Qctnfu$mPe5;eN^?AXeeM%9XqP!}U*mS0W0t*ktwYj7rtdc&?P^K?v``k(## zpL*d~Va)Xp>)@#W_e+yK&xo|JHLC`IZv5W)EQ|)`H~p!`q8D6>^h*cxCUn5N&FlGd zrIq)qLkCLx=YL#(^((GKl8o!jJi(5%;G|KLb%rX~foK?Zrs1tu`wB>%} zj>@0@*y`gY|DcS8iNo4skCaEuzHE@>pvfV%L6pq11%IqCm5Z0nh##Ge2F^t3h*~-J zx)h&F-Y{9|=@0B^wLatTCzo`g|NU4o8}t3InsvFdMf}o8*jP4bUt2rYBW1Ax^nr@KGVn-y`=H% zSTuI*H={lC`&zA|@~z%C;X8Jj z@G^-XExA$id|antCcRX$pTJpkhoyH~D`Ve;?7|nK=AuOv4=(XGfMN%MJf1C^n;9jq zN4D`Rb@%Nz%A%%kp?zuAxkjD_N zp&$PG(rWoWiz9p{dc%fjFGcfSFQtSK5`@E6t0_Kx1srMT_489|GKha90&| zp4P=8^spm+AGa};>-PX&@eH#3ZE|iU)hBI=&`T?a#a-N^MGXW<-}8L|dlKCd5s?w-YB<0`<}K8rFI#NM+# zC-g@cSVqyaEmZS+v#fpO3Qu!i`(bY>aK{gVwxEyv;LGqL`P3DwjED67O2FzHwNRPp zU+-T$gv$2y$E(6(!96pa2@=KLLs+}mQ4yu zJ5WTYpSz8N#gn6V-Z7+8^^77d!eUtrOfS{jVdjkXmfJcq{lVuZWhb&%P z10;yyY0K3CrY!%V#GT!TO`)1oA%oRGF!K?g&j@>ro3{`D8L* z%{w#0W)!6}`%7SfH@1FZ!++GDS-KNsanUEDJfg_XqA#|AYss_0^>Sb4$gQf|>ywxA z(6CK9Q=MLtNx61Gun3Zcbw-IPw#CIyqb7bAnDfgdUeFkaR=jMfxmtpMWKAPKNk!&~ zENF+8*FD_}H5?ysy(ddC^<3YEq(@EzWS(3S3pajlVXd65i{Y54au}0?2z2I1*f)J1 z4&}DXw{f>sxRWzta2;AUE7iK-$Ew)-jgZx8a2+`B0trRsz=&UaMF2wf7-mfL+@Gnr z>dg7)ezD0M`42;)HgjYMU8g+4Q_)8RhA;`0~63(^X`af!kgduB3MGnA#Rx^IN5BPumo+&-lv~A`! zsN({5vRd1IXI}{FDtRI}uG&BL%0u3iq){B}2=#E~KEVP5^K$Bse%hP5`shtYHH_&8 zUV_O>y2pC5v|e`x-8H=?uIQz%%z<9%)NHhK;8}<*<1l{koT!m&gBmOt98F5QxXvH^ zgWI;iR;-UE`rLygnGx>znP#<+<}Ouc1i^!y$>foh5>~>t@w257Jt=-3W~Iod^?n&` zn5t1F<86(#@qO8!KRsP$HmT)=b_Ld1i|!pry8Qh7?|Z?7Vba zl#d^;?=llju}Ja5<(nBSH8^7Yn@o8jGeCO7Gs4|UMbnTI+#G-p0Y4o#Sq zGavlBhIjup98U<99n?~B0&3gB-@p8O(()?LL5(~&Rnd<&4hsFDv)!(ln*x?|2MD#l z`HRaRw|?jUomCO0sPuFB(auTi4t}*CaYJi-V`}mb&%a)D>PrP2#n4kAZRHv@LrLF6 zsboz|F#Zl(!!^E`Q_W+I>HdB88apl;W6+okcIr!fllo zC8_EJO6`x1LR28SJWNi+N!=ahaNE>nb~rooh4Q+zVZLOPiW-|yvm(E&qe)4EBc+;J z;jieTnl)MXC>ANMbFy?cEHCHJDM~75D~Fjxdg-7s82!6PMeDHXO|bi-+KW-k+_Jni zoB$Ph&JWGM@1Y+6@fc10B}EvPm-dHl4U7QuGhj~VfH%o$(NLNytIceAJ&d*h5CEA@ zs9lU%e0mbZ0>3B8y1ivUji^=>_~Ncvo1wFY#+#&%63R}?ttFF5B;7l~01wrca(N5h zQ}ssc|8Y{~#)9wrzyP2REq2su0W76F(Goo}Zika|*{rU)U>H==(UI3Gtn7w&-V7>j znSi%Mz_&nHE+~Wt#YH3lE7b8UFK78J<@71L2AO=?dRTq(xv)2?6qw6Lmb~pXJwC-}5*prnw6n9Yqpf#Z#rr3y$#DuA**l7pfb zICOzHT03M~1%KuJ04(tKIll1%Z>={qCSK~#nN<#crdamUZO(^#AjX(56FB~a^}#T1 z`Ev@SiQbOoo5Qt|5v#HS=gp!3r%P)CeHc2+mAEa_Lc<4cG1^P5Qg#tZYqo%cq207l zmdeul;rcVft#Qh$kCuJq1g0={)#MO{4uC`+iFTJqTgKdeUL|*tMSG74jG>@+NtkQ* z{1Vwf!_?K;m|NDPlVM>k^zpOH>28>Dw&1n!|O>7|)P)>?m zqG%?CuZ!d7l{hIKp|b3&IKDyzChrm_q&gw}@~m1bE$_C}DU-3bdmB--a!V!3-^k7+ z1_Ext$(Xy5q<-=4Z+t%jua&e1Hs+&W!8Rw@?g5~-odVE zJkVQ_RlUjFy4YvgW%|qE-(^*4=(_Qp&fl+AwMuPwM3J5#tdj%TlqX&G; zZa$q4v%1;OOeI;%rOs6@*MTwtJp;FfLW2uAd=-~ zj-e@lB!)L?Mdb*MAf43M3(w|h!2svjayA%4f#bSAtwIdmE6u%kUAHpoMYkzj8 z)-G$Ef;)r!GnsQix(0;A6PFPnBp_fx!I@Pgx3u^V%OWbm#k@#6%)8Wue}_n8z%(AG zHC@YU#lW+IL3$ulsN$^u_`V;ovLfu3;8&+S!D?jhQIiFp`8Q^KFZPwMBCL_5n}w?4 z1&j}zX<+Ozm!89Q@g^w_DqIjq9FMHIsX@8eXgG zOpc(5%dTxfK#*?Hi5V})IncQd-R2|8`Qq2yE3rG8hI#Hf_d()gfPy^Cttg{O!Lo*R zl``>R@zuN(Sk1PA1~_&2u&MMSRv21pk~nB)PA?gaEY-1Iob+0;DP+K9ZMOx z%6T~Dj!ORgKiQR*krDPBVhu#;&Q60}#z_#YHgxZ-R7@cUMSLRr(}(g)u{g5pyBe=6 z$DhDJ4bXw^wDzzfY z1R=8Wr~l$l7{iI!tCjYYC{JnA6wrqPJwp}|zo?qWHo`1JnwIg1-rVf@geS;}!L71b z%;uyQSz3(@JuIF^Q!>X)Fxa{gJ+$ z2RkHsc#k|Sg&3)L6M0X&cT7B08tWRPfY^vX8Q#L4R592v4fl9Gn#|iuaJ+O{SK3OA znYOA8L%5uLLyttubE>Xbfg%BK&lz;#^~w#*(T zOW+x7hLL3D%OAZGQx6x8VmlN%rX&r)(VEr>-o+<0aHblO#fKP6!e4;z{-bw z+-Q%v6h|#LCQ!`atLTZ&lQdM4snjiL@n&2zk<;g8ClWf-`;!i&I8%8oc?xtfqmnz- zC;T)YC|C{WYHLzt$q&lUHn-g$$I*I7JfN5??0xp0X-oAR9lxs9`+JXSb3q)N)?@3t z?<-$Xzb5vVg4Jk0CPtqx<*$f|!}@2}cTcMi_CUhHA$}wLn0`V+Y3orc%GJWJiO02s z+@hba)Y|%I&k3};Ix~JiABc&im3ZMc)|eRQw_>ZlIn-T|pXgaO-acYQZ_8HNU?nRau@Vp8_`RQ*jR~q8 z1Gbu>#PPHD=y4NH>-(q#H2wt5@vkVLH&6$E+}qnft=C3uGP{L!p~u?2RVCZEQ&(1t zE+B#RoTuB$xCnAK^wifsc)>m%TGfLYZ@7oS=fi&+|=3Ebn*vrV3NJmkp>Og7Xu}YKc-0%xHaCMb{g$ze=%zB;I=Hv z5wkZ@#6XIwOFB0S@jUQ-`{F!hJl%26uRd^7#?U!i1wkDgjSuhaFF9z8Gjd*P3w4=29P%og!@^46U0+m_8&AJ{r&h-}sx%+zWztk<_R(~z>%#e{-J zPR7E^6C+J4S8TPSpEy-y2KL`sNI;aD!x(4W8yUp}5WzHxmW#r;SAKzPnJ`Cz z=#gIePKzZnl0@$_%;Ur!w|h{W({I1%Bs3_2^wQQz6a&6?F`>Lg1lI6s(nW|-U(6gK z4jpW*{1tsAdmZg>99%N!Ayi8J@MmmUsp+yIZk&Xr9(?O$YKa0>9!RZ4bl3DpfB5?h z*gDG??R9FI>(E@7dUkTG3xBfQ23H*Sn4KTcL64E9(6FO4-f*wzN~I8%uh6C|de~l3 zsPm8rwL|Chf>$e0$?BZ0mAe4ex?fA8_3acDYWGkcO!xIrl&Z%HakljEC(Fg;;X)SH zU=6FOrBhqOoum9`k$lK%Mnvy1r2C@T`U#PVG?vf#Xn4F}VS~E7dc!I{M zL;lO9_F?R1CsgGzL(`T#Oa{|3o=&VUQVTt2zBbCR2TzruXI%LU>7R6fp~$BIr8s{^Iz%kCi=}m4jJKKr$r1GPP-f0;z^CnKkU8t<=>J z|F{uNh4eRgte}HVK^bI$GkW`Emu5YyM|TG`fvYX zKmllB?eRjXSj{XmklzYEC->F4{Qev7#+@KLtXnfK-zUn`7b9_ILjr4af?2T7lGgZ2 zcs6W#CK4*O*tNH&>~#bKmrLyhb1mzR5tA!h*=o7ZRcU@M29Z|~i_|Et@LCC{pMJ}V zU&I5L2MW*98(+UC5dE%WhKZ*x>B^asj(R}}-HJEIJRMub8kSKU?5XU~VnX^}z2p;5 z2R%iR$f7_lJt7>vF*um%h}^1}5t+qwn3@!TCu@7sX9*{&7Ruph0!fi`>~`$nD62zP z0J0KlaX2hd3`3IMcu)ofBu{RuP!$K=82>QFpYU~;w2CHx#c*jR#J0dyp_7aivLO(n z(lIH}?&4072}gqF03t&Dz_?qp?5EUa1cLloa_mL$nKFSiU{wfi=vnchg1`7=5Qc8L zS{D7e*uAIrzxSn(7*$BAQ2r9V;%jo76I-7D))l$KQ9HF;H- zTneemTaxhU>TanY8JX9u5}4>DB>mfXnd^NPI5M{=VPO#A(>`E zh|hl?5|b9aLYbWl23;5tE<#R%eT3qgSZI;klH zuIBT+$I~{ok-+XLppF)q=wM8f;(GNhKJN4>fR)%~GO@@K_{}%s)aUvt)Xokj09Rjj z$JD48l1{A{4+^h)M1}nv9yFTkj?{H;r>?m^MDB8`@*KD(6!sRg+NxJddsEwr4$>v1 z_r)*0C%CodhK?g^F8o24+Y+%Ig3AaeTvM!#k~mS`ta!t0*d&f^M?@x`rtzs)^GVfg zMbU6YENE#R5E^M27yll|n(^U&BG0p2h&H{kIGhdjyJg0`t!W3I5BVY}Lg;*}%;$F?fUU+6Xg%1Yq( zpxS|U9Wg8HZRUB?9yi&=LnR2^VQcZ6+MD-WwqIf+2%ypjoBnViP+R_FK@Jxr_loxk z3Bn?zcPJ8$Vl21`)5V$KxP+Bktcob)C)&X?%ZJ}^hrc37HOPMKi>g74# zPZq9Bqo0+B0|exI6irs;|d`7yZ@d!@k%pMuy-mg&R~tKO6Q*d5b@Ti6Z)#&yd~( z9mDHzg01*3K3Z^ul$E9sp;dFO$2aBJ#|bvJmfX%>zoO?@TEwivrmMJ8xlfK& z=EM|+)D5i~74>SRy+>RVs$tYsd9H3+WNgxJv3m>u zj9RFui^_8J?q;jqTiD5~E>F!od(>T-Np=e1tBG~x4}d)SyaAl|-OtIxf_d4$Eop>> z`GqhvyuU`HX*i22p0bl{e|6pE3Lz(`;_`t{hx~XIGL{8 z`qH_3V9yMV-xWO%Wr`9hpZPCMHBh+xM-ZYm{q8fO_qtLa(tAH{Hr3sFC4WLOjR}9^0w8#I+4OZkS2szMR zsOK@$bf8_Wj(`b3k{O4_L*%6e<+x}J10`9=)oT6Wb3)!N_o&*{%IDS0(#v`suH5;H zP55N1jrr=#i^&4wsw^h{g{FTf8W>Rkhj+`13S97y#06C;R0RcikL(BL=x-mH4G*aP z{E;w(YDHVCZlg^VW;+Vi^`pEn+E)NK3EK6l+tC_A9Zx;@11t(*zbj?vJX&(czfO4a zws8pB!*MBxtrJFi9HdJk`zQ28(Ir3Jq46A5tE&5IWwmL6nZ*S;1jZCSYq~C1ja1^I zdNL{wu+{-a1q<2BwozC4kI--h{_*0h2Yok4kavO5_g$ z@Ysk*z_3E2VhaaD%0ZeOU|20OLwW1#qaJ$B1r9SDqFQ@GkL5 zwq`awg{oU4)g4u$I~nTc>8A=P2g@}O|Ix=-$ zQWWO}L3W#UL96y@%T~P5G}}TZERqGymu!ORHl($NtH?6FhWOM1va>nyQhDRQ{;6$m z7;wP=B@LbZmHt8;SKYY~H4s`mwcdP&{ws@S-ClJA4@ijf=&2<|`;2m^h*sf}khX?2 zC#}F!LPM zfaunhB0qiYvFo*>gApf#X*sYB;srH2uv|8d1#GrP0+Im+0#+AlnX3bpyjJ(7NHY22 zN&s=Q2;O4@zRBBoDyO_pv{*b46X;B7iaW(8&*XgA%vp+9%f%MrmWUgu}+d=-q z+ps;Jd|o?i72AeuN5}XfyVre;KGoyS1%=aUHXKkUzhn+GqBW>)yR;gWb+bb?OM?}+ zVL6IFExqTZP}dVfh>SGUl1XQx(`sd`k?TY)x_Xyz28=sUGSBwxJO=O;&1O&rlIl6% zLDfrO#q%SC_JJ5|7{-VHlWQOR*RyOk-BcN5tD&{SE^;B2*2}6WoIrg*2FfE^(i4cq zq0(~2N(+q663~hbRV48YwsfuKzARi~XB`Z&KdACo=ZY@5Hor zYNCwatch~yW?U_WRc`X#9%=pMWp0M)zC(Ar2?)MJce``Nrv9sbldGu4&0;3}{l(tz z&lxTrHBW<=bs)12NapCO1nJC4s7W&FJs6jZEEpVdM8o=-1RI{JkzhFvo@L9(twiCQ znE@k>ck_DR^_*JsIQ438{`ULv=Wf1n(|7o;5B)8_TIK%U$F+}qAmzo-gt%Va9CXg& zZ^i7HupmlO!RE*gs`<%Hp|QLMbbi)%T5iVqhg)uSwPe?Ex#mY4$97*x{7*$f%#Dq$ z`efO3HC~ih51o2$vdrYIZTSC+d!w_$b<)h6$KO-QeA=Y~Pj$cf;ornGw-eE+p}_rC zmF=V3O$(ulDj0r3hl3fgk&`K*$|{hq2_a6Hz+auOkxFk%_Gie5V`;7-I890OvGYEN z1!9xyu_IE~@o_?6d7Q&9$?PJ1?KR$|bZ4jgVXVh+c}`1;tiZKWx{d&1p%WeH4T;S0 zYwYPZhp%o%s|DdAgs8qKAdPc+Jg4|})Ev&oit~Jud1qZC`yb>|*MS{kSo%0Yx7))X zJbjy;=yDH|&g^WP7}E;u)sQREx`b5%VubhXo>6Iis)UR>W_#15`DT)_fOg5#N@mAJ zc2bGcBmJA;11e{uN}D2mT1CSiW|xaYhU4W4<=~nIb&xrE>=@6ir8(|eeC^y1*9v4j zBZ0bG!;sgd&?!0nPYI*x>ujFR2*fC4Q%AGL37UD}CM48yo#FLTf_HR6o!)aG5>y2( zi#eI?8qG52O73;LaOZNinFcWmMHGx3_;|+>qXB4yU zDsnj{NvQSF~=q_`my@4RVvgPC%$Rj@9%MY$6Ouv12t+Ov7fHH{cq&v(R}~N zGLXrjapy;uUCi^SJbX??Cvf#n>2-fbarnO~PskmW`E1#LONqMMGH1%-VIb0q=v^9k zsv!n8o1$)H=2Gfdt;=S=k%j(JJ|~tL1Cg()Flr`IDs^#I)h!6~z7OpyFkVEM;_wCq z?aYQjfeBM&D@&YM!86<}Eu+7HW-4ONIY;@Njbzw9EAY{!Qa6{op%}lTWZ3tWt<9D<8?H6?~4BD}d zoIza90PCSVKU+y6_U{CqrW-t+s4$Z!)qcTz$9klKvRDx+AF&8i6nLqC<03rfb?VZO zNI6Z1rYV))SpRwEs>Pio%LMMOa9+*|j^;nC6);3Mk#s}_+nCYZsZasymulD*gE_rt z;>q_*M_o#M(4dTfEpBi+sxmP}be&U2x&mN6$Ej&cD@4bwe8`Ltv)lW@+99FyW+U z80FK-8XMAU6C3A**q{}+166FO1e0BGgU(%fQcPe6*;+B*LDq6izzh?>GRlIu&|Apj zaaOm&Q{C>PC=qRwBrc!_kfk|sqpuF`^n9=`L3%P+$Rf=>wZVcSnC;13DhcjoBC&L) zOeKb}>M`ADrci4%L=bCfhhg8pN2WFV8v~d5=#Uv8KDXo;+M2~mXZ<4yYZrA@q*!H; zf)e`XWRXnOk{b>_nJBmIOy$o@PuXRUej(~^i@F7#6zCzC%Y5Lbo=i(LkZU=?(Wh=r z8Ay9uX-Bkk|G0+XlX@&xHCI6gV;Gj1egWfxN8yRVRgnw`;UdX|Rc)7YIMnonh26X52B;yVT>9Wpa|N=BDHg&N38ow$vaBon8Elu$25(q8*! zFK)hj?Z#YCq#t~M2kdB*5r?6zl5$g;z;Nt}W^*Czms5M#hJ+a6e#Mw}`+F51QUOkd zRm=oo@+Yq`ZE~0SVK3o@3iRxAzsc`BVyh)Qx|J*0{y4>P9~VdT)|g(Mo8b6OI!Yiv zu+Gi-17dkoG+g7z`LO27K)(UcP$E4{Vp&seR9Csn>P3?xF4?bH7E+JDm{Xg`mOsDN zb4#9PJ;hLVn@c5`+DW)rI39167iT79t&d7f6T%gynftY5IIG2ZG!b?szE(3r=}TNM|-? zQeCQ*lgUp{M~ereo-Es1p5aVGyd*X$B$FJ7+Rs;xjYK=z^?9@Wc|ytNj=^+X`m&mD z&#$~1om0#ADrIrp{%@;l`h<9+eIYrjnsWHnXixI1;@F5{aM8RoG(_h&lsM945|}Pl z)qG2FlEgd1M!F(simD_%wqjk_(*!*u8HK3i`Snly=$h3Q5f=}4#?&kpAE#{2)JoP< zD}Q5d z7=H0%1!}7LHQyybv+&@Pa;_(O;uGh3j)(Pw2Z!Q2%L5PY3(CJcR-Cdm3dRp^ftk(B zeN-I~FurNTwZBg4)mKP=N{>W_(8Q}o-7L%DHgJ*ky|IYSIm%?S+ z>O1`hRYC6QnR+P%8`EoooM}fjow)ppum~UXbI_C3i^2`yGMyi)M~@SJ%X80YSSV9v&m6u8&wfe=Vef%GphE6WXWF_c2Fu2 zFin*aJ)qWvlnY(XwO{|tT2CHKgH#m9@AWxWt3C8&A3@p&5Bl@N3swV6_>rjo*Vo!ScHZLzGrZHI0Ah+ZIhXTMd4{&P*58ZDkDE8xu1ue1k`bu``L zKFE0S3l`tz;Cn$IIzL!)rJA>mU`W8UWMfaW2Ck-{97&KaAfg7#wyLcI+TqBxL1nZ< zm#q$?J8R-6ZfD&t$PfgLe^b-l&FuDD)_QKoFzN}}x&Tm{d3-X$ zO`088lF((!O~CzIlHf!GzJF**!T`hW?tBo<6y3RNw+3cQBsLUd>LxTJ1p8sP;kykl zl_*craGfjT@9yZOgId;^O>^URHa+@&Wcc8C7SH_2?FBh7Rv7QH=_Sb^snNqa%cATr zxxwc}SCG4lr2Pxd5GHzPDFo2A2^+DPxVve0)VBXv*P4h>uq2YQpNw!W5?fV#;s{tT zvv^=t)m{_ugY@ZyHWxCO-O< z@NYjjHb_p#?8kI@QEe~0e6)N^Hn0TMAQLNUldYhTge{ePPN^b{_iXT-+#o~O#@665 z|5NJIMt!P5;^ot7@Ju-yJfqCZzPT4ej+?6~5x57oB4>vbwa6GZIJRwvOlqpt^+I!1 zpgg{rac7Y**m$} zfN--x>^5g7y|TWiT@=WF=Vp5_oSV*|$L=oOoyQcGl^MWpw6+>RBGw|X9A+P&o$FvM zIoEzOhP3u}M!LT)Ml|{#j1m0~VD=8(?EgbPy8nMJqW(L^+I92$!II5<TzEw9pvoT3@WU2@@2g&x4op2qTgMx z?&qXCOvqhVMI1SnsTUG)CfUF~CfHKUr}9{Dv57lB|3ajN@t;Z7WXnwyY8E|$CW%+_ zgLzWfY~sBq5^p1lz}SLg^en^*7ELiI1x`#|cvXW7lU@7dOWf2k_0+f!Ly6@btSThk#SWq{X9tR>zCwO<%-5YEBbmop;RpS&Rt!757EyB} z5sIYpj8Ji!3y&^YLp|z0=B}~Kc-iTN=E^HMhB5A0>g}jUg1{`?(Fn^K_eDjFBQcns zU{bRBcWmf@#k}^`6K|xMOXnpPIICRmYIuA3a29Rfrg!)3?g_Um{8~|yx5$qt1zRWJwF-_ZiaZQmB`hi zp~%c5EVg#mfP${9+d$Mz1Yb^O^X|S)=#(UFS`w94BYz&A-$618=d!mr?{u%K;Mh6? zf*X^I-w<9pqM9t{sy?TeWgfah4csacxuyorseX6j6@+~F5l9E>eIL1{lDnrvvEBa} zQCfb(&sy1Z$rnT6hO8~kWY8um1u<(T+&JJUY&9D6`nwEOfXkx_ra`_gE1UCC)QqAl zax;mB-RJYti{!_O4v66`u`HWr2EdNJ2+HQ5e^lVfi*H-3&d#Hx+22*Kyt3}8mqnL? z7^DQ8kHKS<(mt)yJQpREfBScrS?YUAv;Gf>s3$5%X`!0sexVlm#emBhHzYO^_04r| zytJ_4CyGI2yq)9iDm|KQat&Ml(6400D za|SDq1>rQRc>hHlYgWKf&@oLJROy@!tsXfo52)jLJbQIM1Z0pzkBVSjieCi|cAc{| zSG0&5p90G*IvWDjaY7tuG^l?@L^~vam4nm&tFAK~<}^&v{hN!VBmQm0*~!(SS~1%Q z$x+!;qpdtTt0ntjk!g{7+)fo!gyxMR%-XF{7%o@5U_>ZV2?HV$)JYK+tIRyui@PPQ zwvU>tQWpsYp7RHvaeW&@k#56yVCjEKbeGFXPeSzy@BylM zb2>~{IT|hTr^5uYL*^k51lP>46`c&h0KWlh+3kd7Aa%vCJZKMEJ3BqUY^uQ3N`tL& zjX@8B+qlrAa1<4aPaw*MSZSU^TeEaY12ZKrXc(LMP{nRbyGwjh_D?Q}L_%XM=gxBn&Iwuh*El4q@ zzVQL8=70I9um&Mo`wHV@KVfUJ-;^fb^z8Q(*SUGA1QX2)ljSW%-rZIQ?eZSYbLY^C zovYNY|JbowUPv>}L7cTP6%=1~Z5M98*&OcRBx{Oi+jCWPI#IN2ePI?#dLrywwauoK z2(Q-ZHVZMUL83d*5Uy#GcG2n^AAEpoh{E>Vg{_)#2Of63YDHtvEZUNKN_95F?xIRs zS92QQjfdu7^#LSiGJu=r4G0<*fU9_Gift+h=#(xg+ggT{nr(ZO6uPcF{TDC2YZz*w zo4UKgS%S<(se9SgkQg|Md#~s;)z%|PDP4^~jHOZ{E&9o&!n%v@+8vOAlD7ZzEbN8@ zN#nBbr6qAj$kUp-IJ)7k$rSCv5U9QRiH|x?DSB_=?Rjkb7}x=KSYc_Y;Cck5Hv?rC zH<#lgFk!*l45!l>c6uuLbQnozsI!7tz+KI!E-zXsS&%xq@{`*taFoN5vgj%Ii1$(_ zvsPfr&19Oxo$WK(K7Tu6$=!^ho=@-rq?AVHOcgfW3=KA2sgY-rs@JbKRh!9W?iQt@ zdR87)uJeM}QUZ$}pL2&u2DjAk7Uqy>2>In^a#{^nUX^VXi)O;1Uvu)y&3Tm^$*(G@ z^Mg$}ChA`O%_sl4Gx!L*;)tMGtGn?9wufiKsAo}^N5k3t7iO{+uA*9EdCS`hKK*L4 z0%|QyleRgt$OvG`U~ML3!X9P&-P_#J=HJWt6KYhgSkTO%Eo$%}>%>J-@?Q~o?;ApX z9uGZK94S=IF(EzM=G-02&*-}V+YIZ!+0qR@yXS}#P2TwOmoS}#tq_J0xQ+NjPW8*r zNHWlbV*F6~y+Rd<-X=MY^s)van&-7L8KIjkFEJ2Fw3%pVQg?ya8)460I2aP zAT-uOd`~DeykOz9Ibr{-fJ3|unN@Mp=bM39R~wqwA@;ck*mEtPZO(ZizW#d?SHk#5 z!>ZfUBg#ELDEhWTcG$MoBaa(O7^qrNR|y9XLWFm?LdABu)w2R^C#Z`Rd;IGX#&kp-=;0t~r#&)tx^dek)K zO5Gd)@BOg#^ukob+uYjw^Gro*xRuB9kJx zNk}1S+mb{m%2|-WVG$r8?dDb67r+tweQ)oPE}WpZ(UYXEu1&Ya2X8jkMZ5mb5jo1Suzcb z>4VhF?Y8EE{5nTIcC`lwZiWQ2{hpb-hqu zm9FsvMc2v~_ugbv|^Bb2X%gk*U#}jogv-zDC-ifiT=IJ(HuJs-Y#_%qY zdZX^^QsVtuHY%Pqn>qvgw`4A!EntlI%*S~%G&;bL)k9l8XPxLRy3dZp%SQAAmbfE& zVx%w%mZQ~)+Gl?9(#zNPU!k4S75jA0X>t%2S;GxZ#56UxGM}=6594n&zO_D$lVn7jfd@yVYH*(Hq1#=)n&mq1 z8;!1AEoi>Z7D^NPuzhHRiN`REJ+fs2=jOAc1~mU*pnNq6;+&fAKQX^$@aRjC1q6B zb2HWSSh_9r5;P~?Emr7%ax4OuQ}^M2DZsap zo5r_y{?4N2;y2N3N>}0>dY@0tLcwlm)_9+v3m@@S5wgitN-7$0!jJE34I~@iukqmx z$#ndtoR5o;t2q`)^S9<+=LuOG$J zwGA2T)E_cTT{Jx+t7PrS&8uy5wC;w(qdiA%STu2MG1o+1^adSomQU-PZ7#yfSZ166O`FVD%=G)9)qOR5j*C&rn3 z);s_lwQPjJ!?ttV=QVywHBn_t+@@Yu>xln_IATlAvjb^Yyvcw3mPMkEdxa#|qA^^DwCP19VfO`e7Ieu> z=VaT4mYeQE3dybw%!La1Gp(GjnoO?o6|O_Hrop_bwwd+g0D(E7?AsCrA)8yo@9qjI z&frem`fh3h6qk?v`P0!8(_7XatKme$XJv4rt$y8;4fZj3b?J38J19KS*+C(hSsCs4 z<_FnCv8XdqtbBZ;*vx#h{{`Gt$UYp1JJ(`kpp0wVNK`7?9CW4XxIm{h zDBvmeN4;^0YXuz>$t!7!TLQ`aOV4496Mp6YWUg}-l4$y3u9N7NF9|14Z=1T~8Z#-% zsNZo6#ab1$THGI$3O>S=FECg}OS3YDjn!V8@LeXJ7Y640@aXYLAzzUMDS`@}yJ3gG zR;x)Q9*e7W@eo#i+p$6K4$5aA&_;|hyO-i>r^j7pM9p+pZhGo7bksYF930`sj!O2N@jnuih-!hLCc`E?QmP}-NNupBY>vXZXo-Xixq;zp?k0a zpEanhR<%+nt$nO;XQSrU82W|k|Mmho?earMl`1*RYAr<2@kUQek1elb@iW`Xj(dSa zo~pu^0;2rml_wh>Hj)c!xSAXhny9`M4UHZd&nTlasC2p_AMK4GVrcQ$vd)#OUZIP= zic}1$m0a&D#W$sU7GA}`Z!;;{7mhrdQP?c5<8St{m8pzbTI`kqp{>^2{;a3de@ zKz%k}d5 zG^7|R26`HAY^Q1swNQbTb_FRjrdUuZZ37*(`P0rdWm$rJq7dY4CDDn@kY*z_AU6zpX8!K+g2TQbNR`JqbmD6>@QYtoI8gs*d zyGgPvY;C7WiWOJN!`X^ijXRvguQ&twM$5rZoVVd_S&@nz2Hhl~60K)0ALb)NU-eEb zX}}%uGW>ub{ZQO?Ynn+tTlp&T%H8=`>Btm$4e%|gCkhE1E(l3>l$~FBSy}}lV5?-r zLz$(AJeBf9D@SLdl8O*B$zU+wsR2=y8k&0VpHfml7I)#vQMIjY)f#HlF*geNFqVXs zEOl1P3!H@2t132Mi92&Cm6*Fb zCu^D^sS;YL1Y%+EK0nC?pwhzmum0GHV~7F=7GjyiCszd61|p}tAdCso)&Q%_vh`W& zc5X6gchiz!Zx)J#4HYHxxKk}W+IcddW?IzN)OA%AC4g+g>=Z<@%i4ovk}CFW;;TCb z@<>XTa8ZuPMixAy98{kap}aH42A8|ad{Cz&8Z@<@71$}WXnGlT%q4h?DF5Lgb3UFd zvn8`RLRQ4%>5}V-@aA8b={!!vz2xe}uXmdH@kgLAB#^EvyPA4~R41jwWe78fNMsd5 zC`l<@b_H(ag-1?~mXRfjf1j@8Dx3Vy5Z!H_J~QSgU~ zeat(u<~>y0^^FHo7;s!>_j;I$CsLP@x+Z@UtR<bWL0EOw0R1i%W zm_L6U`cP^n1{BcQHFO#f#FA*uw@E0l{h>5<(%G`)x6cWxw-_&KReJeggLw@AR_# zMR-J}dzOaBxd%j;H8Mm|ss=@H$_s;^Eg^;;Dbl(dd1i;MEQ`)s&eEuFc}IyZt6B#q zT98%VxjVU7%Fi-EDhj_+#I(&b$K+En9d-K4BxFKYQdQ|S*i1?$pk#@{Et!N6@8gL# zN#tYy=hK~?S80}vFMp*-XLa(MBGUmrwfq+;jR?;O!Xfc#5{Zhp3*=6`=vPT1boR2Q zLUfV>QsRaDTuKX)s1~H&B^|OvS8^-CK;ovJcqbQ~5V|v0C!eL!3rGOYnvP@_IV2B& zsoFM5bB$t=T`3i&B7TCc3ga00CZ>bj2~S8gkR$r3q_T=kBwL!Oph4N>v9zUS zsav5(vTfBXF4HTP?D26t#9PeuG0wHy)5dk_m&OOLOLwti6YEbUr62Kw%ZhJo`^C@d zJ!@uOxG}wLtGQ{A`EBm?YNV{3c~r>$i1)E{1)iOzycdql!fYBZ(ed;~__*G`$zLA){5X50L5I?r_3y-CRCm+k#ue&0xJW}4Zbo=(= zChxk^YxI^xKFb<7GP3$mue;{Sfx*XiZtpysZf8>8&fKrBx~^QTC2O85>YvM=?Yi2o z`A>FbdiA07FRt4;m{0E6S>Cy-oL0V>oYlk0gS{E(ef>}S`Yx;Yj2zY=aqJ&|k8+)- zaA)_0=Lr&W;K$dWH&|)`v9qP+0>u_6HVXw7TIDQ6nKNpEVsnybmc#{$%|etpqZTMO zCwXQ`T%g!2M42;cfnsx#XO_eTip@flIinURHYa&zNnD`VEJT?zYJp;Nl4q901&Yl= zlsTgoC^jc~W=UM2*epbuGirfibCPG4#084YLX(M4*Ue;}_AkgDY=v!I;*J4x|fm@0LrWg=&A}UzNv(CIq@c|^s!u@ERV>trUVvyER5#@ z7VK-~f`TmYS@`y!=i`{wAr)&4$~ug!oy!`q0)i6CijZ-m5pyCN*7gfsuMEKW5dl94 zj;tnGC_h%FG&_AtB#XjknYAKTBMj7Dk3|z(NhB+Jvi6<2^S~k&J{MFvA(A41F{_eJ z#}-(xl|Ug`VGueAt)@dkpn$Wi_v*2_uB>^QEkmf1CF8~XQ;lq`xOC=mAb_u6J{`!6 z)gM`5w*Q`SU|SoJrb1hfg5jHRyGNMpDW!Hca`td|_AmN%FmynvmaE=hJZXfM~W zuIBa}tf~%GlHBF4Vk_RHY|GkHY{;hc#>6H;B^tl4Tu!Mczjf@3cdIxFOAt&JF>ae8Vc%uo-PPpUV2iUaRe}z1=>e zJl2M#vJRNmh2Gr>NxYog@}Y}cws@rMu`g502`{X)qkO@UmN^;3NrCk_*_kbQgj@@G zT^VYSb-5O>5+*NPmpro9PlCOkWTsYLr#8|&cRH;i%RQIajW(aKUV4uFD{W`V0TwC+ zN(w<5`zpu&pWjfd61vpkK(Tz9&ZN(#EL3C=$|fOFSP9j(Gm%{s*l97{8mk^zEnO?w z+=-2rSZK8KD686&8LfoX#8pd{xxVG5JgbfyV7J(wfo0e&3*kDY3VSFy0z_@pS^HqK zhJd~B&#$=t)X!W6+S@-i z<=zWl@z^ydVD;#J&3160AbXKP2X+PQ*KG3##X@aaCbf1z*02V?&dK{D9c%>~a<=3p z#mVw)81>!1xyZB}06B-FgF0p-FJ~kBWd%Wr^|Q&R!rJRQ*)YYYctRp+e5{YX*VlpS z_2PcE&(k|c+mofq{v?5T`zC@UVGFNHk+tBHTAqeKkWXxDA_^Ur5wDc;JIUXxxRv;T zo%)wQQND(20O=c!0MJXlRRJqbr?TeoWB@-R0a+23(o(9swZk5mEJ)n4PRnh(^TpavV~***=jL7BwS zCS<>WRHcd93HyiTMSeJ*Wl(r5n1q12iktFUA>W{3&?Lw;c;HRqn=mae79t5%Gd}EG zwqOA6Gs(%B&}4mio$AnC4rNq$fYnHWB|RL8DUDTakevYJt`lzh#w*~1Vy*&o!BYz9 z2kd()?@OR2*-IT&q8kRfaR@ zz9}S5C_4=RT?*3z1TW2Gmc3dL2$68NkrYA)be5PMTCVcVo9}fBzL%TEoedL|NLQG= z^G;<%ON7qyrJ0b{%76h{DlKb19cV^2tb?MiqQ&r|&?Y>3GWa zB?z?x`%8&Wki8?bEHAq~?o{#hGjNM!LtfOTnTZNJK=N8DLt;bt#DzuU&J$7`RY}th zy2nW)yD3fCr$n-L!VF*pUG_>SBGiY@;Otjyd6DYfjo^aNah`T7)S_^mfaDY5mxb(P zSR8xmv3e&aD_ZB0BbzIb192@Ufmd2yz)lykNu}D}VM=MjCyK`gCY}FG+;KTg^uPMk zFbB#-A3RLxn2=?!b463}B8UAGp}F#t&HD03bsrTcds0;1=TDC%miCX(%p{_?$o4+=;PNKDs?+BchdkA@TaW#G!Cvly~5{TRX;nu*V#6=XNR88Q$+zl+)(H=4a%cay>Ta#%mu1i9WNc&QguCrs z?WXp}^6%u1;#aj6^d(0`1_g%}C*%|>#6P_{J$C5VRCi8w|Ck3b{+mS*as`EbKHE8#!D7J6fsL!<@_OWCit(r7711@EF1K z4Jl#Ky)o2ZZQtzYRrfv}dfOd(O{2Oa>Nv;NXnQ#YMp`Ox3UGu|>DS3#avcktvA2yY z`I(CBeRsL0PJDY$Ft&))Z^Udwr@?euxLG!%%Q8n?az**G|8=fm2epR}I^lCZMdc8hq_2^}~neBeC`t@vqP<_Al0f;VG?bqRu_W7~r8f}z)e8%3Wn+NW{+)3_- z1iqhbD%LB&fH?Lx?Kj8Y1(Q&WWf?nmM6UFi&?IBS4nwRfIciya%NFKjiyzir0D3dC|q%UWDSftmX%9C9d0$i?#4*bqeW$s@TUcNuu zTMqBZyO2N;Vc9@*%CoI2h=D?#P$j;7Y<+NJ{@1eG5j`-rMf@f)_rMO zWLwkHRo-=Gm)2%=5Wd#$b&5Y~$#`+wKCy{iE$N2SR@*wg?KS+Yy^jZVkJtFQ>xCl$gFSF-#I%Y56S7L)4cC9ejaqNP1|wCYv$v7%BFh?fWlS+smtq#!FiN&XhHY=R z&f-IF2z^=#owX>Fno)>wUE0PQOIOqgCk7Awa z*itr8L#@Q7u<`O^@48IjIfeFaU(!fmV{xUsoz8AQ^f^dBpa>|^+0KdgL#OKOEHxZ6 zsr7(hRKXh27Ubv_@D8w1f{^|ugCFM>Vo65gBDtosA@t^HRklRNAxbe+-)`r<>9TnbjwoSg57UbtIL=M z*qhc!%;PO5#!yI|m-Ua?s8a%?i1DcBXKxC`YI;*mv2p`@5XXY{Hh zjGWd;8>>SHR)m8X>1jI#TF`2zqCa91It@!rx|sVd?P4TQN(%rR6xH&QIriH1t$x3B znU0ovBf7|zvM4q|VLRQ^QoBlr_JS4~l)egBrK@zyJE-+?ZL#pQ4<`f(=0UgPS9S*l zFRyWxHK;Zn=C|A7v}e?|y1={E9%ZX~aH^E{8)`XpsRy@Umbfj~V#VWodPS>rFuwO= zm$sZ@3x+tTF)o{)AFiU*%e7ZT{|;KawXVr#UYgSDS{|b83w9pVss>ZQYQa6$08RHe zNh9#}-6dyJY(m+@zGuJHlT`HH5=?7balh%o{GG72-cENoeXIQlR*IKTn7u|}6!^B$ zI`(J#v+Y9y)kAd^0?eMl#4m)XQyL~BihnANYcF**63dy#SM)Vq3j6wpQ z+GLYgS68}t)5e{Rt^rUv*1JcEXUlU!n}b8yo}{zE9(3@thEs926Kg8uM^h1w>6e~I zyM2fBMt%1lZT*-m3!~_U6|4i%H`Iv1tz#46bQQtpd+{q|t*hcb)$XD;sSjEU2p1tI z>RnDw*fhdk1kD~{PS_^n5JoLIDRJR)mjdbB)(U5QHRNg9?nYZ!(sq`+h#WfjO#Wd= zt@sm#QIT;fG6)!%9RTe@sDxQbQYJU$G}NY0NF4k~xa&eYs;!EAv(dJk-cfBLy|yco z_8Msl2Q8se!?)H3BdtR=HUm!Fdg#Ca8XwflxoIVP{^UxV5@0ysn!uLM#e^j7IDa?VnV}uLPy5WqFR0$ME zBl1ZLOp7OZnFcwc?v>X!+L%Wwu395cN75o7j&{`u86nW%Z!2*i_0CO z6qQ3%3(k>DTPWB#E|f{>vjhjlIkJjUQ9+$&*kV@$-mSEalf6qN${E1N-u7jh(k|Si zA_A8>q2BHLOQj>OX?l*@ZXZ+IsZo5R1)vbQ?eMQ#Bkl0IK>Ia~K-VohQX5G>Y(H$) z9cDJcrdo_wOen{>xN7`So8LnK_0Cj?k3nw zHQlkpX+b#MXl%9YXZp@~#MnW%{@g!(1hof03HVS8BU&By^%Fk02BE_a&m&&9(`xhH zh^}agO5t#(&_?sM@u6U;m#^8anr(+`TT$ILm;24g1HrQgk8HK+YB0F%i)JkyYY$rW z4)2SjD|Bq`(C#w3cGO1twu7cu_<_AmM}jp@GaadX!fai)d(<{;Z(7oB;pyrgsGLsIc#XG(WyORYF#lr zQQd9yVLegP@q6vMnmtmRFgjj4p>@1@zv*-OOzLdCRlF^S10HJ0&$x#*YQU@GZGW)H zOkm#*^&8o&SZywPm4%d~99{_|fSe`0(eyR6?6d~JiiF$%vox@FzK z9q|PArm5ahOZ(Fm=GlQ)){hPhEZg#7Jv#8GBk53lWBkVb`i3R-&y9RS7uOw%)UBTw zHTEXETTeCCtr(clYYxOe{cJE5$Bkt4T@%aV!mwFZf9kfJ>HY_hp+Hri16!lxKPgpF zWOB;yMQvH8HS%yT!V3pM)+l{(12sOT*~I%p7_1cFIs}3r;N$6;sA1e5SiwH_ceTgf zF{0|<8`ZiQ$9>icT`;IM8@H!Uv<{2GxhYPyH@t=Y!I7|gM{n&q9PigtgEzjXrr0lC z*C*me)4bRE;M#NSldIIVKUM_Oo>jW(zZPKl0*?Av%(An$F%@ei2U;M41cWit_i7*a zm`=uy_E5xiZBQHgBro`VPmJ3;?1Lj;AGc4ijXgMjI$jos$E>}zYYI2Dh0cy$*Jaq; zeM_JBGx39vwUX}F^`R}xw&=`Hly=c%A3wCZh6l;?4aF_De$)>5%_yGgUaqb}zx}L_ zfLZFCgeTVls0Mx35i!FLmhi))&}cmA!;QF)q;(qed<<`sb61<)!^}bzu;wjbqy6xuY83wHZ+bep+djda@hI)nqVxLi2Juh*z z)GVtt<26vddbDN&_L|?}4DK1}vp-|$?IK(;W^>v`DVW|LKHR@0s7%;Chy7chjVEFi zuRGShBXq75PeUp6EM3rH>F+eAxwE=LZ;s=N+Eh}T$dXirZ|qUx)*CC+{GEy^Z8+e= zg7vrBb#A>j5jW#OVTP#B_FLhriCEWnOc+&n_2_2L;a)r{fvT6t(l+TxU`0m4AFvk} zh8BlIuZ6i*z1G3C;&lI*kC&?$nBp?BzoRE-Rze8_(djVgYH_W=UARp8s=2WtOnA*wkL_L zdfHwNrS|qK@qmOLUbm0haKnLB_&a=s3o2F6*1wy5>hbfuqdyS3zPn3B;lUj!F%`SP zu!D3fa@AzC4i-B+(8Y%JYA|r?u5BKQpjh2I>Te4-^h21{SM2x9>Y8uuc5Of6qdoTS zunu{Ry;ck!wnUbGEhmB-QPS&qjn8X0seaiHznu0!ru{mYNN`FH?6>9HEn=a%T{~Be z;J2|&=s9J^{O}6>2?=xX)y4a+?;L)=N#ts{+ z553#fabvGnFs_iL<<5AD9D0TnCB%MrYySJkBXnNeO6LS5^9MyQ@(0~?;L?stC(T&` z0%kg#xKd0@KqbK@Vg>`ctOOoIQrbr6Qgh;Yy-pvTQN>#3q5s;|mPgM+@YU3Rx?}Yf zc@O0@2zuKim~FTe{V>sOFL45T#-Qd@9Pj{9GDKHh2|lo^t~f2L+inAPzFO;6S2mCZ zn_aJiwT=wA^>q1|U;`!{)r0*e8mZvbXgj7csO@TuSA@WbEwoMCc6SXHA77OV{hW^# zUvWaG5W%iSYyAHutvgyexq?85dL(E_uwv~=yM;l~DqWlw6_{Hy6q}|84PK44yJJ#y zP~A~uPxO`6+E$vnsOaBmudd}<&J`%G8$HIoumy@GF|0prz1(tSt+J?pu)buq-Kl6y zA#4MywHxZyy2ruNv@$6{t=+9}z<+^7Z+C&aj+I$yL?@4XQwOb!UEd3CuuEoV7^@CV zz32H5vAMD{aEtq3~e3~+oK<3X>=pdEaXnj;}&|_AC z3DK#u48gXVBH3_r*iqYTV7s(DHqPEu_ezc*eMaXnH&J*ke^goyG^JP@`^D16aE9ny z;DmryXk~%Mla|+GET zDMVe2V@P-;af-D^QKr=u&MM+`u+d6YiaSI~l~032CuExX(h{;^(u(J~D6Qh0p_Jl% zyaSb-GN~Sh8$8OoQBQJz$ml~Dyp+~Db^JNi(ttB??7BTA#BCuN>4)gGoF5lUCa7?O zA#TKBwA-LV2U_<66MM+)Wq^~)M)g0a6LpooM{8|TWhb?5Stq>2kJcEciO$s(Y{Z}B zY_wLR%kR==7e=P=y?8A8VxzkvF_&8PrHx ziBNG8WB^kJluo$?3V~X1*0e{n+9F>G)KbPAWT~EdYAv-&H|7@@Ar5n>5)~)BfbyC?!b?d) zj=MPH18!iKpeK7l5Dg%q#!;7VdE*x4ICC0sfOZw;PetNm5Y%b1RA^LjY^N*+p#lqn zu6yqX7b(pYhFX!0%f+FgUW232w-?rOC264icS5U8-Yqz>GSY@-1a9JB%tg_Oq)a+= zQE>n=*gnWDffxu?8G*4Cp4I5#U%OE6$bq*iZq#Ad8YjBJm&ZUd7FUwA3Mml22FQek zcn{a)Ho9&{bZtcImTg;yYyiMpA(5qw)Iul_M3wl?mxNH7+G3Dr z@D953#ZEm}gIdJ587Pgoh$b~{M*cMjgEFJL7|aAI2tHKU$kY%nW*00PIsmg-yU(}@ zUWgF_l-{YjYUfDVS#7Ib}As<=KoJ zlw0r+QXyrbe4y4yeFee?p>yN<0z6Kce5Z#Zn8F2ql{~|ucYV=W~eDk+2bx$n&8Fm~}#!ry&=dUYi%rlo9~IjdjdqKU!f-xlHWic3W<{CQZ|fVhd52 zD0Ewpm8{5)i&p7q@aq+)0)3b^2v;M|DS_0I5Dq9(=g=sh@r#rdWI`utHk6;jhf&2M zjkze`TNdxfO2ae0^lKSmB~#vyUfB5xBt?&9@!;>HE}ASxWvgYb(o8AagE7LFgOOq$B=v9tQ&Ph6-%-(yH< zX}?e3hYHDXVyQSB0u$UoQgU->78EEjlbK3G_mEFk>TpqLT|__LteDP-?oIi0hc{@h zYHdqpnwCRb4>n;cg)VgqyS?y*fk*JTL4QI10y|s3|uHRwSm)4-@>w0^) z?)4TQ)NA@-@=e#nJHc8?0r>-UH@MI(F52E6Y3~A?!UmmUHC64v7j;}69T^+3yG&eL zZ8lZCpV4|mxAc&~<)WiOx{!ml+jg6p+p^BpnvS6IglX?KhTNQ>*AAJmD{i@^75{zF zex?wP^aoWh*K>z?rw)g`sHeX=xZA(NxyoDhZZF-f>BxF_o2yL|3fCMhA_HO>dTR6} z`j5MGi08<18!k#)o>$iW`kVfy-l479Uul+Gvm4Im4~g%qsOl`onWe89X=JF|XS3tm>&=}J(`H_+8E0kyy83(mejUFH;q$etv@@K=RI_O9Y{p?E zzm~Q!Cu)%%7HyLX%J)X~<_fw`?+;H3VHZTLnjOiU1(l^=m9qEu>VVRRL+VfywhYkS- z8@LB2)+m?VLvb}%|DLYlWEyzZ?jC85tgv8JeTJDjqoaN@TD`(CE6hVf$KLx6)t$6) zBD_}HA&q-zbaPku4>(wy`WQuDb*I(V_V;KUVLVmqrSN1B8=4tj1-upGOikGkNXg2~ zK1lZM)!_mCVVzX7sR)4-VQBg0lDA>-CY&A3_y!}hj<#9sdLGG~6!p}pm)iI#dU#+! znQLk~e3pEN>ro!>qo{3rwWy_E&Ww;rYdbK)v>_ zc%!)mObaR427R6@STnc9vm3@iKK#7acHJj2XsZX1ZhgYIE)TjoU0rkz>@kQNa-r2BM+oKvnz?U^g+L z(kfFJ_Ts8Z@VnTulh!xH;fAeO>x`J%Y+h2cUYpV*JL?c)SR=A(py=Wjp&O30*|b&* zYE82V%;<+#_dN7J@-h!5X!=(%-KCpLDt?Lcw8h88?5FPcnF(#W->>)a64*VUMOr>U z!NnIBB$f8ixHwMXz>puzFj2)jF#+356rB2PBfUbfAjI(o_Xzn`Q=t0mbWnGLpbjq5 z`!KhuG(q!P3u?46rM?GQJ^EhsE>W|oE2q9&s&Vsb zC`;Zj$qhudD42mC>DDj>{P56#zwM_kRIkRArMgTvij7_3m~D43Uba}+uI4ruUz;u0ev+* zsLFM>!u*8Hy_N5En?bKL_T;S}g*FLe*HFkQCqAC94MV|LUWI!AEwgiUh#SA(pp$Hz5lzxxMnTI%+l2mJO0tBTq%9YW?09rjWtxAT8p zk5(;9*<1TPDwSk|!oAE=>pD1WKT4O1@a78vr%d-}w`=DHt<`%-kKvlZKr_oo+r|#O z%SK-pwVY@;&{3_1$H=BmG}v|q%i5NfIEP}zRHJ1_H;#jPKfblyemKnRrQxg8aBo+$ zzv5gyrV#t#h8jJ8ImU>PaVpi@g({ZP1B(JTSx%}>IyPJi(y@{g?y;)6#GTxs3SHsb zr6*|RplfwI3IJxgbPSf4!VMHLe(oFlHb&oB^J;TVIh#UcY9B1ijG}jLzbD-mJQQu( ze$UvQ!6%qPwBh+NeJk^J&SCn_4*(FmE}IyI|LB^s%!jh;j;7zJ2qWmW{K21u7M2`( z4?n33g*L|A4wZKP(K?x~Pp3W|}>XMJPAgXo@KIkDJC%<)iH{WAmgjbx6`;};~I*wI5 ziu0bq)J&l!Nd*4jZi9Wcg^k^w@ijG(9v;+!i6)wM&OXFChb* z*rb7&W6B6cU_QsR45N8@4IZh^DB?tz<&H1(R6Z2L(h|~VY0xfo$qYD!gMe*BjnC}Q z2Pn#>@Q7Au1ChfVlvOR1KPhV1?<1z9fHAt3;9da`et{rq0WfqbDB=`{@0SKKGUa15 zu$t6l9U7Z`eTM16O`Kl?NJ^{{W2gS~gUv{bUT!80qD+-mVJC#e3w>Nq6$ka3isffC zJdg$fWdQ~ul*mYoG)&R3} z^tj-j-_`~O>3cE|fm-YY#U|G2P9;{0Jc@S}N40RH5f`FQuSjVFamD zlF@THq4DTP3SH)w55B4d-QM7oKs@%J~7H0DxYY)N!)lNtOzPkT?C_B})$)T1(63)Eb!v zP;@biDZ^r~SQ;{sh7Q;yNIYpHnff*Ds|`h;fnJbPMRj2`xY@`Z?IdQ<+K0LG(t1du zoQ=;EU)ko-qKY6(k?C$3tz}pRYG;xTG_nTDD`W?Lp+kk6a3Bvbf^SCUB$8TAegfz? z&&SAM;y2rcq4Im)q*lpWlRAog6S|uzPq7p(fnC-cyo!8^A}n&a^Da&JfwjI(tI0QBjJNYsJn}kG|@c_1cj;mhRT8O2fg@5qp`%ZYphlpWb8E z;8BU9#r z_lTa<7=H0k^)kJ9q+j3Q%il&zgYO>E`dX)|`_1TWwluH@nQNr2OK-igt@)VK8{Zvh zsI2aH_BD00F9Nf^_5Qi}1$Y(l{Jo2`TUhfas__b6Nv$F7efG+%goyK22l#;n6P z#T#lS0=wnI!Q=fG4sQ4vJU8*?U-LN}cisHsRa%F?Qq|!fRB@+#HPYg8;ej?9dz$?^ z&YQxx#zfHK!$}1*QNo)#Yz)`J4UNrMif(fxf|lWMO~u^Hsm@7Mat6QoGNn(Y_wzx> z{b=Wl(&TFU>iDLgzo;Jnzdln6MmPV{Yndk!uYaG+PUs#Qt>#wWVj0!1-!vp2M*Txi zl_@+!#W#DOq=p_ud#exc7pIE*#`Y6&?zrE^WPTfQfqZFv?Av%##x{)0mrrAjes63% z;`^Y@*N;@cGCuTob;qn3j(gqe(7^4f_k_(CJgm^_<(O}yJ-M~(V}+0|1@*Vqng_0r zcUeZMJ% z!QyLwi_E(J5n9`~(y!O{>1Ow3g4PGB7n*DPaa4`=fPU#AtXjMhb(e`1;!9A1V*ucQ zc^@;FhXRpf{_Lyv{K+x5mbb!Ak+Z^ zE>&l&F*HC7pUu==gCtRPI4}EUlwwNC@Bq{*IgegK@8^y+78OcefE`=sAL|}b*D_}* z9Qweux%%)2sKC)GpS@iphzyt1&Ho7VS?A4EZ(RLVTqH49k$4dVr3xjIs>AIfs1cwA zIzY4;GSoeObPS;6Q-94-x?}K&K-=W1yo&Ep_M+I^oc$AELIx1PB7Sf_kP}AjM`} z6{i3i|YkQPh`m6eAoHXB3_O>bS6>!JMBw^URv*K0jd+TH}_# z&FyF~Z004o&0a39Zg)yQ>x92@y)x_7)#*}41nk>ubogb!lX(kY$4NdCae`DGDLb7S zg-3z88ZDwsvIQbZN;rzN=+fL~T3`k{lA|0#N`;!+xk0fLIb6pg_LWs> zYmY5H{NVvoDSfF$7JP9aS&$FlG9Us-;7b-Qp3FiR1BPb6fp<{td<;I6SV@$zK~>F* z@!6<6_l@mXEOEiD`_|3ADUwH^eZ(>tffRszDgrixon2*5%p6*7N7GkoG+YE=*3Xcq z(@?vA^qaid*5gR+yjXsnKY*S#py9hI>EJ6$X5{G&`*c!0WOodgckUjF)3qBKQ(kl+jJHO`Ytm}IvDA03 z+VS~l_45As>2mH1&Zaf*C=?Ybv|PJ4 zm2=x2pJ#VIXifB3nt1YPIrY|GlV06W83G@TO3R(-rYn-s_Aa~TZRMSwu0NIz1osUM zHpbTk7YttReSYFqA((vPNZWlN@ej5K#@EJ=XdB&BeuOc})oJPO-9vohnO{*n#K4CK z$}_+zU53VdpG#~Wcw_eky%$cV2{ag76b8j_+9%uYt|FsikL?M`cq%OhDadXp-@V&< zlj-*2XT3xBt8_{3nzXm{{FgVKwzAGT;m+<0!)}-iWd$D<7lnFu2h+F+Zn-AR(K3ys zV;3ixSBlOdA3A&_mP{@GR>8%0CLnN~mEuy7?ojSxd85+~va8t+oeYySOADF!(Lo{1 zPyI_dEJ;JcO^pLDRD3L^Q?|%~k>}YB^|32U=WaM4Id#_#~$mWG-PjC*sCpqViLpAI#`+ zy!12lorW^oiKadifSJr(3ld5A{P*4=6vhe>Bt@F2Fv>|(Cm#@z@M2u2flQ}m7*}vD zbU-)L0)}>Y^zZJ^-sD{@-kj7K$1Fsd?4M3OajJmADS`gJ1D2G>|MSIQCr=?tN>Yar zeLuIvfp2um%P)>FVrOG|h7NsuGf>UGa1l4k2*RIv!$p;R9KvDZg~TN%(6Ic;KjY&U zgPP^8id6}4>Nc%n-Y0&@;$w^aq}f*cOzHNdi?5?tprhpCPiU6#1Pe=afS(a_9t2PE zCCR_gL(mUW>Hi8xAKjTbPTi7tDnOf0EK5-xVOoN*MZVH7v-+I{>zOFwVexrnA@eB6 zRmxno3*cn&P)e&1A`4wOXH=1AP9FqMpNBhc3VAN`Rrskmrf#asQhS9Q;DqR%5YII2 z>H9OB#6HawR_LCp^!39m2LusH3V$(8I)}h0hR}gShnq9rnH#9kSwd<+ticVRkreFF zJ3qKGWfW4y{4&@~2`ovg2IY)4aynfQQ3PB8M~(4Sb^=)hGQ3y1^Zttp7nVXd!<1M) z{EA?YoUjM&(mfr}m&OY(h{G>UOxW=9MooS7I~&X0ad))7G+b&%#o+?yVt0IDv9vzo zZ+#J|C;So9lwix30zCO%N?-_`*{e8Dl7wvRhQ2XIS8?PNF89_A1tzIJclk)z9d(zw z>o)_nUd+Kf*~Fw`z0SCVIP#n!aH zAI-hJueu?XocPr#A*>$WTvv5|!|Mw%kwnb=pEfrV#-x)#p~pFs$F;RVqhaE8S=4dB zIHl(Pu)IMRpL+6@QTJN1sxQgiP-+&NQ7uk}iZ@?q7RUG5W~uwacIh>V*hdND&~fCo zAhT~1D%hZm-LdGL!gQ!~x0T9IRf0uYl0ngew+b5 zpR6#iSB)(yY-qFGQsEUC*j4YS*s0yQW>g|K7_u7^kZg;5<`F>#Zm`DAln=Rt_;@kM zSyaZy`9KpeV~g;@heLfBx(;>fJ^1&FZcnH%sk9hnP`aYRaHP6%EmKD1B!(l9&Jau$ z>se#b;tHY#H$Q|l_QSWe6`3~s{mXs>$CGN-WtkWn+07RMTS}9ah;e^3^)s|PVNEn} zBpW$dCrP26_z2X5l!X7szvV&@zRGFF)P%?hl~s#W4ZbIm&QJ_#aK|Yo^#k)C5Kjn2 zA;Ev(Z5M?x7?-k6^=29pRHEN5(V#mekoQj?Qj&yBFeO(4JVhK)7fcg}O8(T6p|F7v zDkeG1pM>EFb=bOyrNeO^7N}oB3EyH2K#m1hQCG-Ki|=Y8>&bNWh# zm(P6}m{~rx`S^c)RU1O1jHAlY3uS-Qx+q;|6;LS3jWT^7fwnmH*AK~+FNbpuQ_sJ% z)xb&+Jf*jUKN;Rbeu&9~LUbln6PO4&fpWEowws8tK(~-ggig3f6|eM|&Xj=&5p$CU zh0TRQW%M3XD(Wb^r!50Kp1|xhVVRPh38m~=qts7t7c@ay##+f;uE{7nofh6p5}N=^UnJr4fYHn>4*xRn)>Xc9EA;LUWd|In7N<6 zo#O;mQewcsv)3 zm!wI(fM*6UdHfwOMP#~OI&fd|>MXZnH*{DX-%CE-_`>mL!09-U;}^$MPk%AZ4}#*< z3r`(?PU?pClc6WV^ejTQ8hM9{F8h6X59XE@39o11VyO}VNz^<;L5eL^BH_Dl5rg!2 zm^PR@ppVC%`66Q>4!3{oLP1ez79)yaqZrFagpy#1=_7}E5&%3|NIgyI+o&mkr}us; z-v+v%BONDv4sh??VJ?vEbt5Jea?BMUizy_gSN&6^t2`8LN>uEz3HZ~IynGp)P;OnB6lla%2s zLV`J(HO}w;w@X1vipy}LkV1fhs#Kv2{z)BX8O$FzX0|ZKwkLA+w`XIRt)c*8Xpt_R znbBl{?IINssWRDhW>b^NWJ)ITd#Rn1Raz_8c{Isv7$7ixBO7mj`a2iZfj5&rV=_S` ziKVZeHjV&1o$o2nzBpU4Ixubq^D+!pMkq}E)>Uj%0e?)7Y(f+Ue7Of>{76@1N!0UM zmV`kBP&_;>DHHYhs;)UKn`2Swb(v+u6!6om!FXP*iSHjEv)DEF{`DnrW-M)qI+#CC zzUWDs=}buOEuLxScQEtpiSvvz_e6|b5qrxU-NA+!Tg+F@x=!>NQH}*${!wt|E3U9( zGR;9ugvF*k6ht%p zq-&+AirkWrX2%l;X;!BMIwKTSu%%n#@6KPBIdg)Uz?c?zqT6>sW@%?WB+~m#hZT2q zDhe3WB*=9c@Di95j0dl zVyCv|0b58&NKn907n7+M{#xoq#PM`>oPYt&XA!cQk(fq@?~)Z%kF(Kn2=m79_#0eI zeQn3|Ei5w)A!D7BA3DSJbcyAuR6TrKhmTKG?#sX{&0q;3ue{>Y3MXMe`ImZ;v>8@E zk&DF68N_1E!qgvr^ra6$tak~u`J>&s7K8T#7=vj`ujhZ_p{e2F=w!o zJ25-vtzGkcCL9HnntJu87-Gz9zmDJ~E^Ca$oei3q&X7S=YQ+~Xnx9hbWd?n=hChSeOU{W1j3lK19k+`5_it+9waV1{II~-_BPE!=%QWbh06E=l z$9Bmm!(r;mw}$BVqf40N5F^}hVw@VE${bLl5#JyGiChOXdUd8scVJ5o z)6us>wBl1CoIZx3glW(>z5kW*j*h_sipSB0jYJM{FFnRtgjB2x#BZwZ?4}LEE~5C; zm32%ZVSMP*F%*D4|IiU5^y0VtWiGSWphZW307f8@83Z{in9@yj2%)C_%fE=la@=qM zo>*<*$6?;WQ;L&;EXY)4elj&XKlO)?vf3G_F)b5XWY)`(0Z{G?)1(lkHUCkK8JmW0 zjhW}051)KY_(TktMBV$TTnY+&b*mUY;R@R#7TZG53f)$YgtIg)LY91^!ziMCIIUpkt2)AC_UJ;MuhEDeFUxXRsPDoXh5W;RhxnFq zXI-A40%(CQ(~KmcGmt9@a|VGXP$eX{-+ghMUBxUN39KwkV>s{DpJZ=!VBYu(xcIVO z^Q71166hzzOy`uH{F84w*C%u*8pyr-7>4SE<0ww+J~Q$2KTq|nC}3NnJ9PZKC*Yn2 zI~l+_ZJ^}Lsq_8{C4twa3OY38N=kc{niIgJuF*km|HW6#FwOD20ew>Ok4Mkr;>RD! zkUOP-r$o#G>qJd-5;1?L4*d2^RmNH5%S3B>kZI~$e=UTfX}l==4(Jy}o%SYEujC+x z5dSyb*;Se5aKL2fiDJx!bjha$bGn4Ilx*AaicGESJn}MN@FEC`jn97yj#Iz*hu|VQ ztdulU6*A1S4*=(%0p9VvK$h0WZ`=M8BUgYG&@VeAPrrj1jRfK5a|6ZuQ=j-T0VBYK z@3Io2xO8OAyvqymBv4ZLu zQ}u<$jL}lgdk_DYMKbXY$qe7q; zI_h**!)K$WV+T>enVO!BT>SZS&G`C;m{Z45MsM%rk>xe-CMts=u)Ls5v6|zhsaJ23 znw%aA0w(aX&H;9n9OlhHG$R3?SpX^TL5#n+cpBY|%pEccEo5}7b2qP}X!-aGJ1(R* z{{oyram5tMz+^37NON{zK^GJ;COX4IOelW$qBJwY%fM$ImfM-`B}RZ)GBT@-W}4t& zn;Ak8k&#&ir`7$##z!qOnn4Ot_W4r}f9B#t6uOfKoW_XGdDUrx4}L915S5@mOfa{FCSY=9d<}Dm&v>WpKy2hZGRub3ggp z=MHHG0-wc{To>rMyhIbUX& z@^+v2#_UuWLff+lxBSv2XYusxk0vwGxBSY*$3Huni92x?$#NE9>RVqr2P&PEQHI{s z$4;J9byDifxrEzJs>_!Hq4Q2wS5sfWBmMGWy!g$jv0GmpaV~dK%<~mk1M7T&Qn(}+ z5IZ|+exFlp&gmU9(>FSfqqBMR%AbAFEVHvC*-M^YU|=-6vyU`8CAqvIi(0e3FvbEwNx zvxWSXvuk{(!kCFEO+E8xGuP*S{sCc(b1UfiuFG@7=A^ev+m_;4KTqL&mNI@Nh`u5*DPyA07=Ua0)J=SNL^8Jk1c~g%>e?3dO%<(vi+j-+L z_0(r)=9Z-*>uGh(uz^kn0gnGfOkhkIJWi>drsIsOi8x{X_Fjx#@}* zO|_iwYqLK0{m2*@qJr4@YUE*V#c!Vb!V1qle)$i(CtbRmsb76$L8zQvyP<{rt18kzxWE@Y>HpI_=`sZN z@|A)3B^*ChzV-ZwR)H&HlxH1YiqQ;!sYf@>T%P;+Jon(|j?fH*1;l13G2inK1I5DJ zd+(bsJj$%+z1yy%6Tcjw3d zEeBcWAnb@FFt4MHcox-Z(baGE_dg#t9dz^*sSZi{QvD8TZkM*u;(0b37B)&iTiXkKm7*Gm6iTfSi5_K{@a* zK~zu?Vg$ht(OEzAj3ipsGrP{sYqxu9WA?V2<7T$HyQaFjy1TljrrMLflbc!EwY@I1 z(j>7X0@~?u9^KBv48N$vpOf%EOKdV5s0ia~b#qS!TThTiZt?y80a{xR%>K$Boo-5D z`}=2<276BOo=Oby@zeu%a&`26^tr@16QlUd$GXb6kNvES=);WYWUIG~Z)37H{LGPE zUCCh@t#+jtg{43ilk-5U`mIj4d!U{Lk_i{gQG^LHjC?{DeSTtj)s=~?NytzfOJGbQ zcxpIiD^K*P>FY#k7tH{Uf!s`}C;C_^SL*pN^;}felFMJuyVqo@1#{HoB*-wOw~8`M zaZGV6mIG>njb%#;keXx4c&;KMrifd776{?w8qdc{xpHv1jvZX$g_%RCr`424iDgXz zVenG;>6gX0l2{rYf)0Kf&5m{Lh!%@L+>`YPOd2ruzQot-GRa-zM+nMPjn;uylD!~P z?k!RN4uS0W?L4)kH*QX81eQ-S7dCDndkc#(!N8cP0=cLU&xefqaJI6a$GW%7t=@H$ zQqixOijEO>o_@#gE_$8NfX6)LlEdLc@qMRe-*z|nTCCcQ)@@l$B zWKB#)-vK=)OB_Us3?Gl*GARw6zu%);=%*(%6bR0Qdbo@))}q~ey{ueZhPk-V*eh@X z6N=r{!Ww}TQODiqzjQ#TDUz+-+`DZQ<7Wew+1`uAO)#c4fz!cVW=`CDj^0WMfp5AEo$Fu>r0 z>A_9!n*D!)xsN=W9SvYG_%eTNiR$D(#(_0ubLkp{U3@ps?lJ6~!3t;3-$*fpi*MwaQPhAP&1Y%Y z0mu+1bN@Q*1z^m~U+gbiyZ9ni2nE2`{F-FQ6g38a%ssm12@M|3o*`jp6pARHtEXl? zcalbT)L7!Nc4_F>V8r$HJoL_`7Lxq_b0|Kce4w4eiv=RGD@DG%QF;8tTIX2#?fLm{ z4s3O-r$G7s{Ls?gfDZoftzM`WxZZ7?s%*UgH+O6q?dJU(l_yTDwVR_Lsn#LvOB=(! zcsQ!{-`n4rToOYntdwZly^jw|RgA3!D?&FmLkQ?E$@#0d3ss9R?8l;Uk9-lIF9W49 zgpz9>RHroiEPLpeU1f+ktOJJzl4j$tlmr`=@Ohx6e({BOX8iq(r!{2Lo||uq9&VJf znOgXD7N}hAQ;OGcGlF^kePugrvH#QUoZ+`wS8$xZ89V)wP(#yu=)wosP?{uaklx1L zFbVD_mj@toW^gNa^TS7jEsM>0n1*IKl`g1x`s{$7Eb6W|+})%9wKI*Xk@9HfA@5P5 zy_rhjxCrau$t&q8G$;dw{SNpuxkRAwXt&tT5*EEvw_vZ#iQ}dZ2X8@+`Dh$WB`qjy zR$%S6fEH8tpW*1Nz`CXmKr>hMr`&y;yIYKPKCHH_&x}GR*RbaHSb($vv!*?#ufyY3 z^m^MmUeX%NwJIp80QC^sMsBghu+oa|SZDH}q1UQ=X8_Fe>$+3Y*1NT8*(z$CZn?Yo zmc{0c+I@4(L}cqLfByj!z6G;atI_r5AYRdLOBGMcseyNqPriStz!fOALQ&NK!~hd4 zDHp%mZ6jA~s|^<(1&r|}z(i<&rRfhwI|qdg7;nxD)U81Yo=hDGI$lFy*Fm0R`Ex&t sS=BsL@&6}c7{rq<03Fx(6d)nK=r{>;Jo%4*a0D8*V4i!m_YYb67o}iECan}W7wH*<};Hz6pe0`tT zC~6F79$P(C5GawfXncSotwtrSBu3Q4_((%g@!1-UhS;rQheQLSL6B$v|3Ai;(BYutP09Pc^izvfzd?X~CG=l$>BO<(j5(VxEiPu~6RcmMI9zw^EC{PTCd z>s{}C=lkCG{&&6SJ@0()d(gb^UGIC>yFTHMb|<>wtsQ6$s(bPiT^a&=PIYwXE~jU{ z1*q=pG5UOr#Te2(puYhMJ^LqvsOuB;HPv?JA+4NZTpOirX^Zj5*L9q8iaKJvdaU5X z7CrSbe$>MJHAN-Vk+18Zj`7SFdX^J>-Pd&v`7qZu;<(#vp^v%5Ie1)5*k1sO9Cgn; zq#--?^d0Is=Q@V?&^N{lzLu#QqVQo)+T{~i5BX4c2zncceAGn#N>Uu>7=z=ILT0a% zz#Fq3-^N-E&&X#eSsOa`H8wnEjN^Ja0!59K7o<7tC7OaDE^c;^eaiI@;$`3fvj}&!8 zPc!q!d^rx~K1E#-M>~BZC+B<-#5%&K?x`oykHq!y383&{tuf}jqNs~_k!YeH$NFi| z*MeRFdNJr{LB9c_o@24^6ZLNbu_wj&?C%97r>G@*s>%No5HY(0#M&6c`GlS}%5QWc z9~8Qw{;55BDJU?n`>2Q5lW3!k^%GMm(XbB69J}s6&51e^?R7o9GM>5*s>dh)L!jG1 z9JjWyW)k%z<|oEnBP1Rp${h3GfY?*+Q`AE{iF2##Vom2k96S1^TPeK2cxOCxgBW#Cmw#xgKMTq5d&WVdEVB7tntTqUmKI${d5&w&=;%^ghsA zK(v!M{xd6jJm^A2tg#X=yax2WppO7i|Ir}I#DhdU*#88G$B($M=XpXsabeGT=^I2m zcpkDI64zdJ>drY9<>*<*{h;vq3=r2r)c2F1{}04Da?I#?>}sOECL6u9F;6W*Z&*Xn z4?2ZRD2Z?ASqJ67J^Fe~^3)Tnpi+TxJnlm^gzoD>95d?RabwP?gFWTrD8`SzK3B-c zc-#6IFYK(oj|Xd@F6g+LXz$nYC{UaW>>mP(G1+sCkwW*Spjd;{bKOz?BM|$yg4q8@ z5PQB4W=}im^`JiiF(2LqzlXXn2YoImdal_Rk3DOyYkWS4V}}oIl!JKPk828bTo;sC z2Yc4^&q2Iq(w9BYGtRB1kL*#uj_(BV{EOQ7dKBkjzh@2K;6$FpxrdH5{68S({6G-# zAUz%Q2q%_P^HCmaAhC|yK&;P!u(NjNqK-Bm8;)AnP}jxdLVKU0 z4qjhL@qUHJJ+7bZd9CAX;0J+j0`Xcxnb+oLfc_g0UvGGg{Ynte%fAO=4WClc{|chW z>nv?iSJXj#$;bJ^wGrnp>tJ2HABy|rxIg+F5bvLV1VkIJd6fSHi2Zv(e*k(rh&`|S zq`-sqvL234Vm{Up=VSDtV=bgOCu+QS|H0$(9UvYX%Iqos4yf+q7}B2<>&mJqEng>j zF7WuXmZ*a|%EXIAK8W%Gh{u%o>YoJq0TAssgSd8iI&nQxein%LJUo}!KNiG#=*QZ) z=15T$&r6QaW5k|%_BlIbM1Y(cpi|_t65Km#sfgN!pam|rb z44Nl^cz$whQq;lsxs>CY!DCd{K|RNhI(XfB5r}Jo`Zt3pzX8Oa81P!gdg#mZme;bN zZv+vGxK^+}@~nXr_h~$DOk=ta0P*;+j=EM_3l<60*rR-S;?=7PLtDtzT zXCL<|tcC06M?wD>6j*RA@m%2R2iF;oInPgyALj`BK5^}G?a+_JJggz;RUnQRL_C6L z&*S#Nps0&IWxhuvah~j74&qwl`N=-sb4E|Unx5pudPux~<~1aU$A}d2!$FS&nf4Kn z;PL!y5OpLTbIKf__tDXR7Kn0?p-@^Iy|f&MV+QW*qXza|Kcvuc8ovgT5)JF1%-7Vq z|CJu`n#UT0cwH}5tX5ombq&0pl0ru;dHpo)Y(_kolWU%O_LTd?x@wB?qvtuxb2BK$ z>-XOd`aDpr=a{4+9rZCT`IAtcZ{jBeCIlt~#v;J$AFr!p{d<1vp1ecMk1RXCa6_TT zlRIKYnpRzxM!Lc+zebD?p{LdV%8x!-)P{F~VZ(G|Dc$ohy)4sOPS0}o*U&7J;-EWsa0;dLD|ewY1#|}QtwJoaIS907?$ukrG-~6ra(Jip=oK=h-*C7 zgCgO_Xl-|S$whQ~cH3u~ul|l=xJ=ILwYU zR}dnEEIv75N%w{E=e z_?k-`@iM1;+{rg=UtMCc+rx&(8S;O`pABgr1l^T*={VhKeE6lMyUZmuFlY~|;e)*z z2)r!S@GwIuzx}TaX(!z#0>{1YvxxD?Z;o)IXukKAH_A+|^gOtxdRGVxxvA;baaz}@ z<43~Csf=E+MH$?3RJQQ8e)Q?`gx_leud;OFsihqSUJ6h<()CQQe#6iL8Zm*>T2#Gy zHbortL~YcxqHuaNdQCm+HK#Y|gOllM9kK`h{ev^VD>&+L&{g(|J+`37{pztU*SJG} zwtcz&5T!qr4d1>)CI9J*DxqOhBVB?p`U=p?9T%jYdPqFUO#9_1w)(JaD1WVc`~O@^ zXNf-v%EaX*ufHbIIq5X6!X%#eo~?tVt(I|y{&F7|Rc;4H_@4;Sp?Xem_I>pKIijHJ z)8#}k9zVs;{w(^ek9R!V9<#3dy`Q^rA=t90wy2N8;m!ZYaUM6c{RF5z_XBQdBu;U1 zJHxFYoQi(-HE+G~)woHOe(#p;Ln%-^i02iA{5DWU*;%)N-pm zmq)?#RW#^#EO`*-AYLp0;oNbxG%nCbsd`u4Xmp4YBV%(&kVSL`PYE>ht??AOx+@En@9-hoNuM+!9FDd->%Eg?c-#w4;I_a8v^V@gly&kM(?qjMg-ocHD z>be^Nss2n)hCn}hoBW~=-mt_akA`xOAh-EST{OB;2%*s}I+a?!P~Vu_%<@$7 zHg|p3CEg7t5dJ0h4zF9~je}IoBzn&Cl8@S3@vXVbZvLdZQ^KNEZ}Q(p2TC!{8% z#v(A*Z(36mQezPq>t}0f-MaV0)_6vw-u)+b1vS4jIzhC39X#%zHns_>298=dz%J|Q z?M#ix0FN_q*8P_cYb|UW_GhD#!k9$Tsa>)P77LabxdZjD>Th`^UcON{?`(z?z73WW)w3JhmsQFZ^~9h=5UGlanOZe|FTA>D-35Gq5uQ$Z@9 z%Nil?_Zu4TTGOpZ7xbFV-|rh8d$t@;Wlfz4$W8;P`s7;!wd?8hW4+_(37;~~Z}PG= zwVr@D7J(nW`B<09K?t12b?R(DIUzOnI8R7TNR35ctRL6ZdaU!A_M4s#k3Ia;ni`AL zw5G-)rPsdoSnsp!_we5L6ur22?#lLwGGm3>*Kvk&fC$};Uy$`=m3Tbq{;tNn_5c|G@p!NGR&tu8|>I z-L8k74FC7nZ!Lc^GzeV!nrhOV0bxRF>_MK8nvfccz*xU&O-)FRMPRJow5Cpm)OxJ@ zS@oMfaX7(|pU%FqU``~em-;uK20YX5#cfCY?Z48u1ZI8x*_G`#J)i%F(-)tPTLaVIaTtZu z^ekZ%Oy)Gbn;8q%gw$mqRey{=efMGpa@xI^p&)b2?#0HJTl5M|Ybs;GHMQ)%_DR!U zj!wX2*Y3q{`o0X>#Oy@ihIs9(uNOOKdpX8C7KmP72al*$mov*th_yF+IdImkzds8N zvu>mZUszq`5_&*k^jAN+E@52@Lwp)iUMsrcJ&>n$YJTspUn}#x*6SF)qOY&@vLA%{ ze(*;hgh8*%A&1x0_Nk-+Q(XPl1GZ~Qby)>|?^CX+xm?4=oODfH!&AA!rJWS1G*pkD ze(NFIHKe<|`rh=|YiKIhbSbu`E)VT%dV;4`-1OaxW1&3hb!u$h8_#b-YV0wckeZMh zi@;dFX-!Q?jYVLr-@uw$kBvQBe!sXm-3h5pOrQ}`4K|H17;`q2zw?}S-g@fnkDjOR zUaYa1zI(AoV;9dWdhg@D^)COjYx~?+a_;N13&6OU;|bjK-HYR(>bimZsj;DF%x^+! z>;WG@s{VL-+G&=7oOUl}D99W&QX@=AHE?W4YW7thJ?&l`_uY%r?!{(JZ5L+W{13Mq zCrgchUi*4)XKMSz8v?WLSKhLL#dxQ1*DNgP**VHMNbq%IEO{CV>;QUUnr0MJ%i>0lp8+$d3 z#bSrw^ohd`aQddxyiWCV-&pd5)Y#)WAvGa27J;#TTvO|@&S%+g`kuVeM}JyVBcYns z)JULw2glmny&D~SmKc?Kib>ppz_g|YfEip=AHN>(yj)c~Qt$cmu(0%QHAH8mkM7J;#T)0*0bRR7)UH~&oQIqhC-@hH-?d$C}# zo14zQZTK8__HBzh<9fh$)AU_IBNxGR_KgH;`j*PEKn)=^?Oq&2Z`!@sz;ev)#m0B~ z%h5eJLgbp7b}!Cf|GJa_$#J_E1GTB&g}`)|unV2qHX&7`v7MiF&*(i%*zSAQEz_DB zy8P z5B_wWnvfccz*xU&O-)FRMPRHS*VKBf^I7(rz9(<=(Vy1TNT{YYH4>=yyB9|Xok7Q0 z_pleX)1G!Ows;h2+Pzq?*v(CMp1biWRzqrC6caau!1OF(2$e0m>FnDA%!YbGY6Frj ziUFji-HU@*O}iHxSdQ7fxNe-M?_O--aA|h<)6?$7aep~_`tHTS6||`w@ho9)GwMug zzUezK?e&?Q`iUmJ`7Gf?;b+Qu;C|{P^FKqU=9~ZGNq{-azL&YC&Jc7HQezMHgw%x8 zSOmuUO>1gGYAga{{iZcFAvG3(QGWQuVfwy=QAojQI{PN1#v(A*Z(36mQezPq>o={b zkx0$HdHSxPkx)%*Y9vt8ni>hzbU!r~sLk(7n07C2!*1HWxDA=Ue!8Of5!ohBNNq!= z(NE738aQ?f)0*0iPq9u&6)bjh14vD~7Y8Amb}u%t9J70|@twYVu?L5Xv#`OE|Mo96Rx8O&tr7J4{GTNR35ctlzYzCZxt9 zFxGEcQxj5S5g6+?t*HsAu?URyo7U7Qq^3_CM!{rG)0!F!)`ZlA)K~<@`b}$ULTW4m zBmJhQ!y|#3cAAX_YFbmHp_;DfK+2q+1CSPt2iOG6`GzwNaa^|883DB;tihVk>`QV4L-+9{*J^3 z7b3N8G^dmI#>?{AZ^rJ$y@x&N?v(cT_ikdpP=9#1SRCDd@$M!1`=0;IdzVY}_g!Fr z3;)hC`zNV?;KhtuE|1jj?;qW7S=e8%SuU<@M(jBw^hduveNA5P(!E7|?5nmICUp-1 zz4onp%1InR;NiCqC@0kb0@I!604Rg138_I?29y(01E375CZq;o8Bk704S+JJnvfcV zWk5M0H2}(>YC>udmI392)Bq@hDnn{LXq%KZ0@EiBH5$A4>FnEuPHmfzs?pfRPe|=T zr?yQ<)oASEC!}_vQ`;t_YBYB76H>d-scl4RT`MMj3j+FVW`b%9Icu7Ros7 zUJQ&HT&CTN0gL+fyB9Z*nszT{w00mh?Oq(*k2&pL%!}vb%<1o5oRuQxg}wf{&;DS{ zVSWA2kj z6Tk0y>!xnf3?VR`eM6`W=_aIxP#Mx0QtKhpq-zkEK5=N^*da`3-wtpZ(}Yw5#|~ja zY6m!tX+o-jV~0Sb);sKzDG)gQr^Au?M(d|FHTH;3YicY~x}vYgdY@&#Y4_rM6~|v6 zAm>k!^-+8NynWeCyB7neNteLZb+>|ocdt|@G0Ey{oJr=()JOU&b~e(+vEwU zZOHWXLMp!&$~x^{43HXJrrnDHi~4*wC20exY4>7AYdcc2?>LygdvV~~B&XerdGVZ> znZ5B@CyqIz0ebCQpV7&mVA`|pVJ|#^`u(0%QHAH8mkM7J;#T)0&!)8jHYKziCZPNR35ctlzYzCZxt9FxGEcQxj5S5g6;Y zv8JZaMFYC#)4p!`w7(sOso#yj#+usgeuk|RQezMPgw%x8SOmuUO>1gGYAga{{iZc_ zg^_CS%0>;AL&#)dMCeI;N2I${mw)y_ml}ELaR${8U|T>jbr_?+^a3s^@{2B9QrM*g zl^HIurixVM8dUlU%(l!_fmeaO^PyK^kZnWwoMY}4b6MI?NS76UX~F#&_vD_qV~p{hTauh0!K1!$OO6LYeS)$dgzBFD zysJJa0BJD^Df+6SP_bWxP}#2{`vuy8y}oKAYPM*6*4rjuJXjgMkj_|Lsc<7^#9XxRKmpfJK^>qwVrc*@UQW`dK2Z@<w{ifP+wD5xE*&BZS9;)c@vpJV*l%uaY5XTsLI8nzjGO zpSniA*QEeaxFH~RTZbY}M>jiouttvhj~%}_6fT0VX8-t4y!vYjGv=i2CpgGt{Tf!; zz}l0CEzchmbmg1b&%Nc!4^oZbb5cb=8_Yfy|s}Xvg zue!=-Un$2YouMn1o!m+NcP2ZD@q@1Jvjp8csXkv*xbSH15GxEqiay^*RX!(~Q`6@W|?VX0@3Wrmf(U*J}(p`bPb~EIq zR&(S~^A(!1hI(^sipg_?mRU-aWkDjRUY1a+&siWjD1 zAQU09r;Zf8NmsG`k$=5ou)Q6&OEdXA)v+;o)Dr@@DncO^=QYUEXrj0si3j~7Zm9pp z-+^{d|Ax#%x)Ecz%OKKwCEmCq=LI8`>nn3rO=trP-CTy!{Ti_rDxPfoRO$OHTz=*I zpd?b`iHR?f6pDs@{D_sobWAO=r-RZoXoJnZZlYYM&Qd${^^KgvrFDt?i+?1WYUU)! zl?i%=_EJ@km|Yts1j$($O!PTS1L#$7z*JP`R?o+H^Qiqopo<5HZ8GJ3~0jRKsE#bt{GG zIIY{&)qKRWAI?#Gn9CNwE$R~gnSZnJ$8yJJJ1)bP1Y6XWZKshgl|#kW>f_34-p&s> zGw;hD)w7(&GU}!5i+MhoX{O6Lh9!EW7-rktm5&=XHEqO(G#mi!!L#Xm6jvt4>59Uo zT5>`5GM2@S%4>uKmxcENdZJ|+RBjGX4uS=sEs^3D_@uFW;xlsSUf>gbwwyNOg_L?Y zK&|xpY}`iJC}l87&#O*dnW&C%=R-8FMtaXjK{*7?kggi#64@Br3(JKLRMsCMLW&-m z$XApVx6@ep4Q469&b{sD>yTm4T8}FtmAabrThyA`Hd~XR2qWEx-Kc%h-6a_~uB0oo znl#L29n@6XidDcs<1X2AlNkugs_dOL4Kr+(_T%{3jOYpi6hp9&JM8?t$&1T1W~Pej z>3U-2$N_eWt#O;w%OST{yrTceA8LShm2guHR@YE4Am0YG};YO8QA=t&$PQKfAFF^ z1um&8w5E9E?C9Y>Dr${Bin7u}%ic7>K(c6=!XABOsiIuf_XwH2h5%!?cV$4+!*KIQ zejdCG76Mc-GM$fcYF)0StJ{Mfpzo%#^^RQ+Rc|3tlNBUs*8S#R zm+_ohoPv5#<4uvRo(3fuI<> z={M5I`VBho)-6;UuBWJo0~c$`QwM@$M^f={wmzh>(XC&J4lW-7jzHzC>puDMiV{+> zkfLw%otmU_aGA2Q{CX8N+9<75jhv^DnVkWiC!Bj}LyR7&Dz=Pj;miL3&DFh5HFL{L zERc%=t>!$({uIm*(GE9fT07Rf^7a&-y=V&;Ww1B5fB&cCH%`7QxvW%9UQ>P?D_ON! z&1l`*`#_FGgQ{~jvYNrHKF9Ft1y`P#wPCYZGynC+AuSrH{2oDGm+nUAqK!&&OUaRp#e@vGCjD~Ab zvf0ml&$N5-B)b>)%K4U0yy^&@8jGW&1H@j8^<+aPtN-nwL%P=Eq*66LL#y$XDa?^} z_VVFR+rxg9`@s+DuW}9BhWnJ8v7FXeQ)MktxH5#}rnTW$a}HvM&JUoE+CQ99_m6pw zjT|0aI;Lq8%O(}G4cJO>pPO}$e^u56LeXc#X)#_Ms+{+$?8_Q5D>JjT68ESb#cwE( zA#~AiP1+jn;(|Zf{;4*>E@|Lo+9(f-A)RR}ORU49%B!rXhKi}J!J`j$C}dFgbpKAX z1nZg}Yy8dyD6Q49F~w$^WV~ukz0?a|xa#_Wnu>m<3ZsQytjRFR(Y)ENpqQ!cRx=v$ z-k7x7d*Lg@;8%HYd$>2hhth1Bc%!lCUP__6utIlqYj=RIo3Dn1%?9Sia zeK&2LWjX3Tfx>Kg!LqzW<6T&xLzcvYi7foAKh2*?&klmJ93@JNWkM}S^Ti4RYC(6= zYI(?(TB3vhYc5274wwt5L5X^{F_AoUVJ{!puKed}Jr zBo;TV*4^H$JD3CfEy&V6bdOoO zD9ar)huH>l0C%9U(!J4TJ`w>%<^unWOrU22q#usOt#S-Nb<41+0zLa&xN zV`#HN%p3nvE02?gbB&AL^cu_t<;L=9LIkG*LyPkr`9Ao^ejV;*GXh}t$?HZ@yk@r<`_HH|ZzV5}Vp znPq#XutdKj5lusGL%L(q^vv(q6@A^)4vKNkR7Q>876GYM^xVT3?{7n{+`#%ZUdHf1 zZ*nMdNTVo1aM9D>_*#>*>|$nJck9>hayZU5I3ksU2Gh$FcJ#qkW7VqQ`+ac_OVN;P zwXM{8j+CDLts@<`x{WR(J7&gn_T{ZZ6$3-`Q^gLfQdld8%-6b-^BC@}!&mfUqGZ0L z@pdNO$auV>%?JNF#Chv#LmM?t`nt!C^HT|V$TDi-JkP+@tg`goYq{{?C`b|7P=w5+ zHNPp+gVgids*_=R^I89HyKTTSH0q`s(W0k&*FoUJBDKk`-OQvUtyN)>dN5)@8OJaB z0hi-c|ME}lNy~A5R$n{KT4(X0ffQGb9doL@2ZrBm9SG>Fwj|i1?nn3K*>k_RuR1;X z5^EY!05g{#aQ6S0NJfyv!_>r=)P@h+tc~o*!f?IP0 z^Dr;7Mx+F0^ndPQPM(c6lEp-Xd78x?M|88lg0pWVZH$LF*58gPFV}{}`l@b@7{-`~ z$9OTch9*2irX~KlyS!=7KF)<-^ct476O%Rzug8St4L22|xR6^RYojc$E{j`aE9E#Z z&t45;>7)>g27__H(x=g2E8WZ4JEmlAEagX{^wD9N|f^s<>TBz?gL3>#>V1IRF! z!kegYkE}Vp86rCRaO(}0v$RaRsJbuzxYm5-q#jZ}P@Y6@VAI=5qbZ_YpON!;$DNKP zk*&<4f=SlOr8pnU{op^Q%lcTq%XhH3M)i3{UUtF6N3uEj+RE0l5}P?g@I1Tf9O1Gp z9P8_A?$~DB(Dw%NN%Y;aS7yRPidgu05e%luv3(|xE33mivR{o@D62l$sObd#WB>M8 z=RC(ex6srXiY~b5%?rU+Z3PuAS?_MvVczmaj7LSV>$96P?$%RV5NtU*+)vOy8T+Tk zkq?*Wl&gNh=zLXpaCluNc|9k~Qr4Ddv0NDm%a*^L1|n;zhMKQDf}MZUY}TBt zmK+u{az&HnEBMV4jmGX^+_ypMMBiEJcQDFRXTe68RTpp?4-#@zFvar8ivs_%+jM#HV=#TJsvDP(o zTlhFg-uG8PsN3jXP;0z&327tqF-b z8$a1%2}&Aps0{z`K#V*ibR(DVF6hf25$y5`xz|TfPwnEv{>HF=NSjAIu`vR6Wsq&a z!Bt_2K2r93*?TdSkI-my>;@>ue(o=4b{Es;9`bBG8Yv4p<|b9FXOlj=Y9T-)|H1m>uzAXT{9?)+>1bynhf-pi3qm&oW9Li11pd@Y|1;i~xU znLKJR(U)P1h8%t@g{cKa!9z+-+I@Xg%}$|P=2-z%u~Ed+?+NY-_Nx8(LYHas+Hr?f{{7Z3R_=Cn46?bq(-=6)qhA zhTnX8T69c#CmyEB=h4!Y23q&>4^gW@^20=V_@r@@nvZbhdp!Fgr}Q_rz1-sl+;nR+ zjj%OW_9{z?syO}u-9S9tsu#s@$bq34>>u@*LG^|bW8?y9?8_Sg+Z9coVYlp(8S|r9 zslZCgs>}?hE!qGl`eND2J?8IjHCJS1+n|oqg!rde$o}R%m2oYF%&m z%2crps5!zMVs_}8i0lUS7DI6v;_mp#A?t{3WMEDhqbyXDH>L#ad8B%snilaG()g<7Q#*^m7aOAEBm9;r;ChD4o; zSo#;GWplN1%+=dkKkFX%{Zi*?$?7ipxK#~(7PqX>&e51Nb`09S!yYEIGJzI2ddsp% z2G!!0d*Co%!5}(ftH$KIEm^R8agmTJ|AqVEEM9k=! z@^MFI`Sgc{-*LUowDO89I#MA7j_8XDK{{C&Ebl7IF>GXMk}-)Z8vP(r8o(_URW>{9 z9y~8Px&6{5{%$*hz1pZbkJ=PpwC0zmmwJ$g+=Rgp2D zPoe1bJ^x&uGa*OcMn`ZD5jaJ7#8tgs|CpyeZ6XNswzRgUic{ejT&UH5)jNu1G^gsS z#Szsu31YIY44)iU6!Pe~H>u)8hti@VwuhTTg_l092E52w8gZ>sJeM^v*2V2xh)gv{aF$#Ret|$hAOJEv9CxEG7aW zeG}&rKotkBL`jApLMSndM?vc5D>Q|g`<3#+7#)89&1kAfNVz)j6;d2^zJksPqVl4* zcxxkBKTEML=Bvn|zNs#z>K;)nS0HLZ63^qm5aKfK#&>4P06(SCPt@XD^m2DuVBya{*9_sk;Y|#+k6G@LTz>9vf!}IS4dI3nWY?^qKCTZp`LYz z0YBa6D>Q|+*E0KJJV&2)FK+C|yto?Ny;vZUvyL4b6@*K~5+4A_|6rNB z=VD?{xieY4N)#8tJ5ueK+N^uYAMG%ozA4H@=3^?ZJj)px1=Yry4|`n1Y3tif?rTfr zHtsFoW|k34YDe-NrZO;J>8|ISZ;m7xSii_isU6QHMilZJsZq7C-ijv%`KBri>bNMTrS&T!awZTQj zH2jW0fdhW-NI^fRK)aCgw_)%*$A|sY8)??SXz4)BHH57>%kPf85NH|7J4vO`odLPU zGS*a>e6)Rs66yp$5c9X6{<*RT-}3L;L4-9X%J3c$1x;9$?!`SWN2f+w^s1JjU>vwG zSvb^GgrD_t&VCGUNhZXhue@x6($c+}E1eQ`M(%=9&gFq&!C^>rh*~VS4**NPG`MQf z{nrnrKWPh6rml@Rw=CR~Sa{Xu(QSCMAj39)IP5T0iF<6Rq7JY5IsgTruv{CT%h#l^ z5?eTP!n>!7wfit{hoy?GSj{oyTt+@cn}iwe`pj!Qh6MjCs&{2~&%CIbH?u=&s@lx# ziVb}m98<$}>SJ5WR>`JW0=GJv0Vj0^h8hoS=WA8k3T?cHlUok7WHLsBzA3boo0mXK z#fD?mC-Hp<-}D!t-d!cFJr8AJgV#8Ac+oeHCjDFWU$ zd>y26cv8dK;vIFw>J2&Kl8xsrtzl^lwQ$etDXnXj^DRI1J73Z1Fc*wKN8Y7jd#R*jnZ;0XZ1tO8r08^rvB0*Dm z1m-ZM@N5FA5fJ@NUgI_wK~UpR^G&Kv!EPk+J0D7(XV5qXMA0`!2_>#@Q7w9B&1ORO zOWAB`%X!$pNAO`0u#FZkf8sV%ttUJMqNua-U~zCzho<;eJ`I~^BWCm6jXTSleVYsr ztdQykAw2ElEUqzXn7vrKWV)g`D9h9`CLhQrYTd`Y8d^=v)$T;)=6tD%9~&wA+-8>B zusHN?k3GD(R`d$jE*eU=*oa|(7jEyM$JBJo&9eimfGs+kjRsYmPt+As)p}$}3@3pJ zN>F87piddX@QB_*DUrj^ovW@}gWXCQ3V77~)_?(n&k7dK8{~T^tY*|+0Fb%Yz$yn% zv%%wXhYOxt;hPx0TpTKmS{oG}K*~=+I`M}TcqQ>E>uEDWf=3;MFwpN2nxVB-d|96X zI0&P@TrRxn3v#qfh*op43Dv4vV`rJ7mC4ij!tp499~RSjj!?3XA3ER?1h%l=tE({;Zgv@ZFmDsyWN5E^y7K7)H4ISkzD2{FFgFWFL16! z?W!CC6ud_T!`j(chuwza<*(B)R*-O^lU61C@eIM1SL*O-m1W>(?g-^`C_ajUc6kUc zm0PLbx6Z0z~4hgM~6M!bin+P*}*ayG!HnPx5d~ z4i4wU^PYq4C+KmWE%|ZlUG#uLf2IjNR8WXiFS*6}C!;+Q>o)REzMf)TMZch4M-hI@ zrFpSu2J|=SZA{nQG=~IiaIKa%mP>32K!1x?9eNH{WV@(i*D92#Peqj|n?R9^-NvSz z4y?9xU|FzIv_FvFoI*To_hLG<-3jQmohre??C9-NdX0?6KC+*6|2xXTyrqV#u(t+( zjtPJNsl#X7fC4Vv{K(j+V>YZX;Ct-b)5Vp!3~L=a>8YNH9Nt zYj!b>jtbfZx4Z(BPC})t~mL0dLbFoF&d3!qX?oVrGtbXr`BO2QbdThbO^9T zT9OIp7-E5oynrigKxzOei+cP^tsia!BE!fo@yu7eRWc9+K2la|YK}DpgRcTPa;7#l zt{GuutAbuwQy>F*VQUJ`aF3(H-iFp(p*Jrg$WZygfghBk?G6u#H|CyXr3yqFMoZWi zE8oMUlH5vn>Nn|Q-$mVe)6i&QF*QmPFfOea-<@u|jjM-rlhnK**-X4j*|0CpE>O1% zFTlh#MN;g-)|AbGmu_0Lrfe3DKeZq@I3px+>5+KoXP++<#h72g0=8s2>nIkhS?;4YkbQKA-$hO)HbK*4~qmSd3EdzIx(q6@EIa>eKt z0%d}4tTkD2>jX8j0QMTG6!~ejxROPMN&gY=MVGTnRR_+LJwr8L(Zj37JpzQ{?VkhE z0|Y&x(lzEGR-=#r2=f)}Tte*Pd-D8(ZnZ=~d_R{-wU|tN=POkLh2UW=#&Kw!bMe_)4&ih65P^AVVq?-F!thyDKj>qEPSYqhr1^;eWW# z4JiqdS5ya5w^$aOgmSQRGIIHh>2I}|f$e;SrVz4lwU97@h&Ox8h*!S~Z+gvFbjj|$ z8JXVNkHY7D>y6dGXwsgqsXZ6xD`UL%yl&l+1>@9sL!7S=pAVc?CKdSE=;G+pyUqf~ z`3j9k!Od#%B%yYo11Sd7NI{&h(8SnzeNzXDY~*L3|5tGgrterLpUd+Dt z-HSDRgm5eQ<+{Czii762pB@Y?ms?zA!zrKMp@@EXOtOf82dyZPfuncSSs0kv8$OxxDN|gLPC4y^o9^3x0OPM!LxwL`E?TwX*4$Y+7m@p>l)Q~AeDF6HgMRqRm=^2nc))YM=Iggh|L}0uKhTKa8v4B&! zO|MGBsTG1`|6_qP=6pr-fW+5X_wX>Ya0*pqYDM!Y?c2Y$@XnQB>>tx?1C?-v(wx}# z@qi4d&>9FO8+=P5a&+KN;{w?z&n^_v*X|`DbKAQ`-HTU-%>j@>Ns>|m$G91RtTh%x zu}}J0bl>$gl$@9zFJIhXH$-~InvYMwwOHy|r-y(i$E!j>NZI(ZZ_m%!GN0ulvP2l7 z%&aw1S5)&A_#QxO@d(a)e@6qC%7+puszDETi;!+cVx+-eG zbt}P|fGnqEUUqdm;P43(=NKf<0@uga-)Tm3hDwg5QE0>$b)RcIP&Vw1%2$kZjRAfp zyGNTi=GZ`#@7(8#$%)-Br?vO_xfVPz z36pejXKs%@wWy?L6G7;M4JPF1eN^wLEf#Pwr0I*P4XdQ=B8EVO(Uz0|=*>C1xT_s& z39or|gg3QlS(*jG9$#v81v>xsQdg8*nX{m5&rEjE0lK+};CS|l=4{?Yech_Lbk}H7P<6Z`UBER(if%!Rm4z5lhQs!V`U1WvS1~ zeDUaw9m8UTh}F2%<0oq~(~HkyB;w11zI`JUOq9Y+j4pmO=eq_B9WKxR-|#sUup)U1 zc7jz(O|q&ryxho+);hpu0ySn4Wf73zgUK?J$XsbGQVKR=>M|G;JK$Ji z0akP_;4+=Oya4c(7)3{Q<{#QBBUv~VKXKt$)E**5Xrnhh;>hP=9O%q z2!WJh1Ui{ez4d|a3*Kol+`pnYyuRr^8V{E&KUPRNta7f#m5izuc}tm$_9BV69$-UxnS10QcM=n`m#cQK9j=SLbY2#ZoTDyDi{_@|-ntF+3 zXR8m3hwCS=A(f5d?V=TGU>z)7o!1i6AUUZINtu_<(3|YW+=Vv0FdbVk@+c=BE5(lr zwwwn!N5i;dqicjjDGx^mn%TF$h3Yl0DI8zpP-D~dt2-5DTKH|oXgHf zs)IOsnAtaF$re_RpeU8;>v(!#ePosIp7N^zCmDej3wQb+;B!BtcqgtR!sj50(xIT~ z(fUVDa%u=zaW~2H1s565DNmMg zSBKqqmSed*;1q}!{E>p6mvSPMxN~nGpUG$>+-X6NZ#jA2k8$k1?lwGT>Xt9zo5sBd z^jJZ~;Ti=C9-<(O&&igH+w2=2nG_zph9M45msr6bs^#{#z>OZ97E1ux4B3NNzjh@u zy{R-q+7Kd)+>0LOMLnW{o!gNTIAJ2nias$C0=yD;D0(jJWzKxv=PqbTdnl^dV9%#bFcG!6e`f?4Dpy><>FI(kN`7N%q^gWlVs6_9r?9@;Zd zZir%Yfl4bMh90{ePzyirgWIj?iQk2as6kz~p-j>_e7`k!am^NY?k#WK zKctTPb?yNM=ec80@=G|-y4tjHYLnzWd&;Cta-6e9TuHF5VM^57)AD?ttWU5PcN^xofMB|<7@2tS@sgc57aYgZ2i z^~}H}Xmj1$G7}mf&ki=KJMsFp~A9I2JBwPxrcPfSqPpFtIsqeQLh53}b7GF~=MoS!@)IidqaWm1UB`b0s)RX0vGUs>yViaw3SMBW0hu-LwiW`M3sxX6 zca{d17NuiA%n3cKrb@5YI5hEEAS*A$NH>Bx@{7${H^boqaE%l0d@$`KrtSNgwC{nT;WD zq#&@QpF2e3a|B`}81f`BN1GA7&UNz@2Mf|1b8wjiqp?=B#5Ag9$$|5gGK5^uG17-E zuI!YFcThpfG`J@d6LIy$8!t1mal-N4i>|;1@Kp>cNO;r=SvFht-Q)4i66?rsKK5ah z@m8JY3?%k$T9q^y!KyPW#635vw*z5iv~%p{D|fWcvV^K%i|e7Tvp_pvp)ulE%o1b9 z&$#Wr$m=*AY(%u zDuN9;T)UyRqz6xq~5PPGRbu9igsz9VaiVQj<+Zh8N~46~-_6;7T=z1PY>SQg{$(1wQ&Cp~7O& zz0}&wngLcuo~qOfJyanG((7`76s;2%o;k#JAWP{*vW$3aC)F?_Uaf`JtzpeI)pzj$ zs1LRTN|RdI977pBe3BCML%QxCd_^_cGHW?%8dehCw(+s1PAnfW3esAEtzd>Hg1GnE z!|~)JQIJ)|)n7)yj38Tfx+qPecTq}W=`ofm`mI|v#Z(!|OHQfD34f?rROFQxy#4Mm zi-**K;J5f4en%{Vbd8}Be7Qn#V$Ijf(Zgt;;AGMYYk|l%L#v457@QMirtewzd^HBRqpC`x$l~Wm>->u=h6q>F+s80hLv4CGsA0iE9(i-vxTE zDNE0D$Unl84iESMQ`j&X&Qx8~w5X`ZPYGr@#MT2iB(H?%rLhv|!!lAtg2|L~xvwJ? z&jjF?+&AfC1?0-IGoDs{YFJZJ0m-7lAIg~zNtf@wPdst5>yo`_p+u%udaluUfI+;J z%*TPZi%P>rn;`8?pd$06$V9z#RG_KE`fUAps7C5#ODw_p=pZwl`@q8~L7R$52Ye+0 zjENt`1ewP{F6n@|4tU69iBX|sJd97llg~_Lv6EvcNHIu2a#il#7@hi(L?I_=B6|)= z4c9YEM8o>5M)xjJIUEeNhkZpI>FL?gw@FFm>OE-e1oSBm%~W9)YgfUNr#|PDiZCJv zPChu%&mzbageiCqxToMP0VUQ(C;O4GwUKWcG*fFyEMa*0*jz-70rnI&gm&vP{?lJW zMWNaa+EQ@1;L1f-6YV)uzYZG(kh`!mws#;(3L6T3Wc-2yE>omHUxKo=W_a07wE}!U zME1(b?RHe7lx(N<75KD03=pBhe_f0{7E0QA_FP%18VHq|nD%_NzYRqMSfuaO!zG=IJ0gPc}0e zsGNWD63jAKlbthD8Hd;*Ki$XEkQjj`CO{ebI)(wGrQJ6H(H2de?TxK~(eAEy_ho=V0i&#_k3TiEcN{G zuGKE*49b9cW^}|g(g@=cT!vRxc!i^l(zdA;B}E3rwdQ=y^Nj3T553Eg84}wV%I1kAXOrkLO&L5)`E5KkkT%TodPxUcd1&Z`&V`4*Tg0PC6D!WNBqD zPATD<^#1@HUp-MNy1Tl=1KEKd=8XRb-OZnC->RfLBhC=sz<>`dvE2-NKY1oC@Ew#$ zfqO1INx(KV_O|8)6Q1!gOv?#RTW-_iJ^}|Vu?0%vlskg~|C(052OM~ktr{eTR9-&H+!hBUJ4?XHP&+O~jHO42Q`^@UoC#+aO((z53P zvff%$Ya|9$N=nI;?5WYVlcdLF2v5oOSiq_cAa&0V@$>FQ%feloqI3ub{}r8(MW6V? zsvu@H#y)jRcljvx4UV()L)edQR~{JR7Ew?2_Lnmt%Wo>g2Q9ck*gwC*=`~+rc?!aH z2U0^u1tU>75z57SMrf~-x|Knfa3YVoaZd&n!Daab(S*sezN9Bj1&F_g&S)rP9lYsF+4 zdnykh*u@A;;_TRKHGttkM;77fR_%ClFCxW3EGl}Ohni~w!RRxhWG8OY=?n1?Q?=uO z5;`d8t^H~eKbeOkuF4rHB4Pv;Pfn~U%vP6dtSMo^bRt!cB*M`JH(_YYiKAtwAupIB z>3u*~~YSfZ5%9s9R6S1R-oC)@v1^Yb@ zSb0i6j_2q>xo*vwbB6^93llHXYgHDQwbVU99;^KoI+tGFXGCZ{)b2P&w_y}$o*`+*3 z4+kzJn{fh%>k}0*iqFzsn<6?PfG&ZPBR~}}3NOwNqhQ6tTS+0|e>tNMABnm8aDLkO zm7B`FhvLplIjxzm*vGlII1*C6Czc<^yOnDE#zS6%v%I2p{N^kAWje|n8L!24_24s` zad^*HSl~(k8Wxb0#k%EuA)mf;UugV~pld@>`smn70M{=aZ zS9nvNuh2N5S}g3P%)Ao%FVs7LmOy@B$S9{-DSXnWaTKKY-*wdbuzb`SW7Pe4?#H>Dw@pr>Okl zWU;B_VAP?l!(>Sg7^s1%Aeq1g%9{k)rB9YG>3V)ZRnlVO>smKUKm0>ffq30=csPG& zVNhbU=#7=TH?FaY;Sh|Q?dB~4B7CoW84yf4gp7pb0XaDop{xAi<*`XeGbA7dlMzxV zOI4U>bq%m`g#wT;SwPa5XuAb~0)6u%(8N1rFm)I#UOoP9jPd7eO=U0Ot2csJQ;x0P zqr#gmx>4hcpx=@}C6IiAd8S#WgHs*?viMqIJ_%hf)SX~Sv?A0xaG3$`l78(t>obG# zG9OIpKApb_$>DsW88oO^Ax#{fs{@CD?~rqOrq`KCZ;+Ds(TYsWU}({wf)e7TL-7F7 zhE94gpyOXP(lX=7JgV#p!Ftnyah#Vr(OuX^K(Vq7+ zRAgGqN#$AnY`Koun+A+hO9~*NJj4%0`wkB<@hx69rk0gmq5Pbrb0$=id1Ih4kMK)9 z2Sv~*gPg%pIADXH19U!baOOt_1a%oOMlciFr2lZ3$yJ^o@ZxMHv&LLvF&Hp_N%!#h zwnS~T$f9!-#u=1yrV^<%~0(CNz zc^pu{a!`(>K>pT0e0WNs!w*|7Ge$5mWoziA;i=(kRZR&(VgnC$2|e5|zJMww!z@%) zGzy1~wSdm?eMx|VZ(iWoEJJgTyD<3T!w8SWXB>=CG};vR@=)Oh^H4E z4>%Cm#71{y910a-tVchatjJ&Rh?MM1A=u2U)@7YPa>_PZG^lGRV}xwy*p-y^{Ua&^ ze0P!ZcrtYK#;h$Or8N}1aYS2&q!qe`U`}>(iJlOq1KLwGVkl@{-}KkWigy$wJ5Lu? zfLkW^^^dJ=Ix3OEwCe1znKw)kV~OadlhFLprpy_`NtQ*`w|YG`W-O|H2FiktV<$F7 zDkWE&q;#tZk)1`kpX_Wt>PzImwaM_YD$gqC=H#voIl|m>W{u2-&Uz`O$?KUV@CgEO zB2`(ELNr7@j@+W**|R}*y{gncn2t`8J`%D&s61OXv4Y3rlhJke5|tdggHUDMax;SU zt8k>_SblY)$tg(})3ecIs`S>M@vDxlY_K893)$`q=h@VPL~Lo*>AA4TKBo?U$eUAtvYKC0cc zKqU`|~1)^NNYs ztT2x(s%p*0GRhDm9{4C)l;o=y2{)yZiARkqGipt85!m7?Q+7JAg{vy$&-;f&Wn>9F zDa#{#=~+=0EYRA$FQ_~=*<5>O+$Ur&!Y;&zW=z)M#H6)c`rVgb8WBeUcK5azx0p_? zQoxw6*`7ryf{$P(+t6hrnQCAk8X+Q&V>g)MN1o=6kwU$_>u?J@0ONO;%EBuZ7;b^l zohf)f-r~3#%)?Uzu3l+~31*BJLqnp5GH*?Tr)q;z)?lod8d&Q2(+~%Mtt_tO=AtS7 zX3MQHFu&>_X;Q2m)iGsE13bl|AWscW?`)$^#CnbVdhb$FFDo0%Xf~`ZOPAOm+Lci* z7R0GJr+8ck#XSmt}>2)QClIkGlvz#B!v(M@; zvsh=HF#^wL!aI4KRr)e7qROZ!4=i2nGMB)CI5`7fPiRb;q()d38E)obmTaz={I;b` zNLHJ)N9Ru3B+=R!6B5I6;+##I1>Rm&rViJ@gEzu`Z;fVv3U-#!W?URCm%O~e&SG=& z%L>&=;FhQ=YjyDGD4*IaWnHm6^7QEH)?p`Uy+M~Y(6M}_<#ofznUqIZA95Y6anl(q z8zNTCZRHs*wg=gI!t)e(om)PJD{2o<81UOB0ERo%_!|++JDDQE7vr5v_>%vGRe#tW z0K0SC70A0Up15`u+`n^)BZXKLgP#OXa=g`Ge@+J#XN|oq*y2TS!W58IX9{#$d?wwDZiewGCUJe6vsBRqXSdCfXI(|y6%UbN2K(`Qd>QB4xqv8c*IDU zI^XrN)9V;MYQ|i7%Lxzv{y^O(0Tdwom_+Y8l^t6NaiVe0BSr(th{vAMb9klX2i5zq za-SeyIG2b5vWbHxaPYBt96*7>^-Mq`(t{t0+r!nwab!m#;6e9fKR6CV;s82&!4I9? zLmfzln3AdCm-y5HN$5+SS?C9)Qoib8sPd(IYbf-WLRkmCCyA2d1&wgiu0?=~BOy7y zdl6qxg>{kmHF+2!?dyxXFfO-nU{e^XzmwT?R1bVh&gjOcnJ|qRk?`-*AS6qG4O%`#U~yB zuC>QVuhqc>nKW1C#GG_$n+57brAgK%pK@MPC`pwYQZR>u_p~yNde$NlO8igho9~CX zcSI#m03+{XsTq!(llH*)c$gB1YmI&>;W~v25H|nY9N`7;*vlGo@TKZ&%IC_Ow5F0@ zsDPYgjZim09O5B{CNv3%*{n1hWPwPBpd`s!VL*dr77mqnqRC?EuO&+rh)HHCJ&X5K zwuZc=6d3XP#G`HbaXNvJ9-&ym*5ej}X8<+C>oDOZlt^n-tQE|L#4qsx5Wa@6wzP(9 z-6J}21q&ynVK&>nhL<4Z!O3?Qb1=%XAX@n&o^xZAlyoIg(a=Gd;f_*p<6;VB31{@2 zUm@EO+jXzURfabJB2E_MI6)FpT2ed`_C6)@ARYcw-z!Qu$6$vv6GqJiSO8xlqPPSE zu>uj#24vZcXM3Q*02E#iOzTHQQG|}#3X&L>TAGZc#Z}MJv2Nn3qechePlkGSRTjP; znEbd;>KJiAUI%62;@W&uu`3p)3<)k36r zorZ zQ2E?AQ|w+$p+>)V)02yYQ67K}d&6OS@-lLZ#Z4>wkk791-4Wuji0t%?4+cGvX8}@+uErZR&5;G9>d>qyWU`vw zn)2ANV~)v!G_(22oh;PmNL9*iW=VK6V;rNAe4)oBEZqDB3?dUr4JLtS6F2I9$x2Kj5|hXxoqF;?$(V$i#D<*1 zxo!^3sW9%PabdG)zT9H}^Y=V6yvO!|))xQr@o(cW7=>a-QJ&sb@3EP-COF>k>mLH2 zHT?$X0(~yiuTQ+H*;PiDIy_?GO2SKkC4jp}p!z#;z_mzl|4M^jTDARyWAZYTs7KQy zgl0k}{I|48JWW0fk37vFwuy{UYNQSeLsk|72Uso2c!*G>P_HRgH0z%6tq|=!#<5UY z=3EpI0iiU(&0asX9qR!~fU}ymyFfE-EC6XIVP^(<2 zQl#e0V|c>PW*|a5Ea{9?qAHKrPipohXtI45%E*pzK4P#Nh!~9loNWq42E(${34xX# z;bA4=6wLt%uP900d;&N`oL)n%oHFq4)RZ*vS}82PtLrAmCLKo-h+ zGIcPXWlF>&%sP#x&P9v_I?>UKa&KX-bU}k0n2v2S);l!+E^3njxtW1Lc9MG+JUjLm>FdJKH@#FK)^VZ<~;<36ud|(#5nTb%H zw&ke~lhJBK1~-z7uz)+M#}0U}Qs(kXPGeqExms#5S6w>^3Cdw%Vyx%onjch%VB_!m zi$7F*pp{5ANoEpe(7i98NIvrQ(no_>UWEBg5?a5QB0r6BHN1oidVXDmNG4;|a^1Me zyd%Qhi3@)~*^PqhoWbjB(u8T^9M$Crj)5OrCHgGG9psiI&h9Z3yeSM(wT9=2rJ zaEECXVh{{zw}0%D3Y3@5kyn2Wlzq}k0i{o}nUVX#WL6sc4kmlF1@v7!P3eG?3NlSj zPcagqk2L7HXp(sowM|Mwzq}uUlMXMIzx$W4#BgttdMZdsW5V;xf6)|Z{fcU`Rc&Vt zEO9KdbIrs|RGEi}FO#IZ)x~FFz2{*$mnABzF3DnTcIq(%EDEqb&M+(w4tc-;T`wuQ zYX(_Bm@>H)Zd5=FQssZL3Nf&gTYV))EoBT|k0@2gKPT8=@|9=95*2S6vLT8$GA3B%kpDhiOLJGz~40Rk@$?$my^V&5ckIjbyS+GDIH>H9tzl2$Ci*6%gR9#o) z5E|yIdCOl^$raJ1>X{Iam5-B#oAQdBVd^s0r$QyjdAACg_OFtzFSj+H(%{cix-}1ny#eP zwS10+OnzV;m`YY5!jD_@VHXpwGY-jH}LXTaLIrae;m9?FtT=~;L_P(5Fv z5hbSIl0^==7D%}kQ=VVRBbsBfypn#oLfw>kZsncLQnE~Y^A#$*h{lL{k0QAqpNz<| z?B=T~i{YKK=(Z=a=2^ zj#})(m2Z|39yq^m|FY1|N&K2mPv~ad9kauvFs7cb<`-9;(;kk&I@T1N z;g0{BHg33ZB)u4Z1;-sTtxVG}%afZd4}^p)N@RJeTHtU8vQ)@4web8qs%!Bq9Rk`~ zkmU}~Qq3rN0dF3K;69LUHlkr6#V5U(Nua_aV?mXwNT>gKB=f$L>S zW@N|R3oZihJ4zNR=PNXY>MUhOiR@Fq1#Wq*DnyIkgJ-iUGxA=%xatZWt?j(wZDjK< zT92#BjB^${MpYL29Ifm6axL=h)nYU5Wzk(NPCm<$R9?;kiP$%_1a9>7T4+}-IZJ}3 z&eHwq4@iS16C*Hn5V*V?Ofz3m9|P~LDFoRBLSmLoJF|2zkZ&^YxHF*?&n3*#&61cH zXTFjcO}^MsVd#aattEw8{e0Dk2Db;qNb-2=yBbG_DC;&uh!|gVo6)m>%iz>%6bSRd z@rJ3NA)g|3NE@Fmp2a$^#Ja&OIqrCdSyFMU*q1$=>fW?jL8;M=rU+ zWWvdR+0u=hK9wPfKR{c?@^NtCF-q!+U1At37kJ=Is{C4?%G21~R(}88Uy{}}@vt*r zMT@5+z{Mb7%%WBwAJho({Dy4*D;)W?yDHZfTUEIwO-z#T6GWLmqNqi4PDSZYHDylr z=GcuTSLN zdwg^RiN7MN2Npawv*jIKx|R1@x(B$hddMoWAj462|7!l)qxr=Z;;uv%T!zNgGT&88 zljY`mMqPJdHD9IqGgm@WS^mc##$`VB43G>x#elNO}K%fetNX3E#q5%S_1{C=lZ6!#+sQCGOe`Cx!*WUY_H)%^W zVUBaw9Ao_ckMI1AG3T0V?6uxuISY8P$?A6BNr2R|`oM3cCbmA5`T z?PU`J`JK^ClK-F!?MQ9SfE}qFsjUcXmD_V_M`|kqTjlng+L79dz*f0Er*@>aBCu6% z`$tq5$D+jDA1YAXU;<@TJ~k=lyDR=GW=cBHlaBCu6%`$tq5$D+jDA1YAXU; z<@TJ~k=lyDR=GW=cBHlaBCu6%`$tq5$D+jDA1YAXU;<@TJ~k=lyDR=GW=cBHl< zuvKo)sU4}U2yB(xb81IwD*{{P_MF<0+KRwdxjma zBCu6%`$tq5$D+jDA1YAXU;<@TJ~k=lyDR=GW=cBHlaBCu6%`$tq5$D+jDA1 zYAXU;<@TJ~k=lyDR=GW=cBHlaBCu6%`$tq5$D+jDA1YAXU;<@TJ~k=lyDR=GW= zcBHlaBCu6%`$tq5$D+jDA1YAXU;<@TJ~k=lyDR=GW=cBHlT2@e zUS5U3_2j?*><>)AX-Dc+I8DK6N9xu1ESx>37A#)h+L3xaJ_~n8YQf_5tsSY?swcD#S5nw0#Q*EGuVGz3L#AZ=b}OVxNNvltdNx(0{d789(M;cpg7NFa zA%X{3Dhv&q)sb?2Xz8D(s>6!7+#{N;oWf{WoUUiNh7OW5+ZKe*uYpEB<_;Bv_D`kL zkevTRr(93p_D@->Q9*PJ99|zsMha5=JCvcdxY~f5t!iZ<$0%vx3an;R3KR4}+-HY2 zYg7#@?Wz18i}W-bqiKq*N+%pj07fe-vq%9|LBr#J@Dt@K5dgQ|HKJ2>T9M*HAkCpK z`!TcJXp{%}4Dm{c)hP1j}ERFgCMEAg=1*GWsh6eS~Fa7->VW>U-V6 zd}swuOmJB1470E$uUT{-DYL?V{|#`T-muDwm22%a4cM8U@McM^FviL%8{qVWWW2h* zLg(u6bh^3$Ipi@^txRC`V$TLe)hufUui1tRC%f!b)Ro zEl2C6pk8OqwSs?fe4`CcK9VOgL<`Kzu(VNjw0LHxcd+Q{5@`czHy`*#i`?FN9Rh!k z`_Vo34@!uffL_RzSC-fDUa9o`#P~k?{<|NjI5d+Hd#H}d$24&Yc%6FI1BUEuvsbu1 zN!u%!_fCgv4w+2|c%gVQ?y0nkv8zh=8%^-vl~X(89`0&-O7|-6n(QlrSWW|yDJPH2 zu13Y{*sajXtb*#)=@D8nfetN;@!++Zcr}PR9>ZH7uC>np>G(arfFq;qV@pN+7Urq< zLOq7VSh0K5CFCHyS6!n)Uxdmx(pLu-GY#e#GTl+fe%u5?S~|G$yfj|Z_0!cYr38vA zNR@KZVy%2YZ^dhM3aXPe&Nye@5cAv_PU{@&hTTZEnLK^zZ3%eP&Tv;D)R=3Cu*t9R z>x##^%RdW58?5LgkllGCL9w_|m2uTLc1zr_Yk;w*#zE`GAQr3a9ZM`n4<}xYm9~$h z&6jP*7W;#x87=WTskJI(WFc5I&@$k~Z{eb-m}Hfjb+JN97xqv5yR?>hA9p=6DzXTaf(a{Gr}we2fGF*_ zo<5rn$LZ?i`7^{=aa5I466nOtJGK*6Qs4Nla=t5628`Bl!~ozw%^5U!2Xxgq*vQbh zqqBW1v~N65AHrOMIFh0?P;1jI(xe=BMoqw=4fryh4y6P-F z*&de)dVT4NM3+iiEzvRyqcJpFJbQf3OQfo_YclIpZInXKdOoZcE3>av8-4H#|9bl2 zpC6*Wq$JcKmcDsnRIoZmjwNyCI0koLGe*V|R2DiZmTb2WMxeM!a~O1r^g>>mF!!t_ zswmlN!L4i#lTnXY>z1sNQ|S-6X0{a~&{5$3;6>0R`--1e%?AS=gtD8=j)jDKZl)~w z+|JT?^&617;~Wpt65k+0MIW|v(IZrbg?w&L^}o0Lt9y4HuN6n z?-BoflFRGHu`%>r!MLi>Z8|skn{r8hK#J*5XtT0c=_`YLN%32pwgO3(ymurOO$+CDO;*#M^A5z571IrVKXuzCdY-28TSM`?ZsbKuH2t(UZFdxG<-Wj% zCD*AMH5b2rwJ!A%;Mm6)ZjV^k!+q6t`jXvqw6lfYIFJ*Kc3mMP4jU4A4LowAAfGb4 z+ivNvDN@n99dZ~XhG8cbmre-}FRk_dsQ&U#9xdzcCDr=Suk1)eM72a-BK+ZZDRZUreL%&6E7~!qwvFz{!ExtLF$OxrJw4mMJEos~5Q&n>3 zQWnbJ@VdI@cF{6O=lcKo6=OIf9;nO0D{;IW`COKET1mGiAc?sZ8$zryQ}rm3K2%@k zKc$B5gn7JNIe$*@OtrEWQSSGwTMUPy{ z-~6rf2)cZt8M@0Ab*AXQBdsW}T2&%op0q?ZBCHt~W-C`|*Vt~;9*58{ja&*fL+Oii zkutR0S57AaF@PfqD6whk*d~ zdO8ls8Dbnuu{R6ZsQb%xR0^Aq2GH!BJQR?ohIBP)<~0Jnr5|rFuMYHvko2V}UV%O> z^Tjf-6!?dXbzbRQ$Yskx^H`SSd^OSZHpgUJM^)NrOw(UZiZ1hQnRwC1b?WM%20XT( zEXH&q3_bX|J+-eSb=`_xsd-BuN)5yYGE|2KI4K$Q>?;EF5SdFZjw~^etk{-wrj()R z*MacjLRbS&0H@e7_SWA$_tRaOk1GF>_ju-(tKXmak0n-F*6l&5!6d3I6BVDXYdEWU z2PNEAH}GWvN?UBv>ITkeU7{>{922%P&?>c(K%k$dUd?1U>oVwFOdDzT{vz6z=8#!a zkXPc_M>FcO$|=a4BT*r&Mg>ey{4|rZbY)5^wnT42s1>dg{G)bo?yr1cXmBHfUF; zr;R~y?R(;9QMYi6;R>8E@*ywr&H`|~jdcM6Gl-GnkZ9`sp>m_*;X{XKJX!IX)Dxcq zUV#Kp)K%j_bYp(zOlKiFJmnNlN8mVdzrg)wFug|Md1V7!}(8!szKAkx4x2oMd zA@fdHMcZ2XEm}Hk?UWeWbIityu?#C*7*4pp)yU2DldD^_jR7k+*YxrIEbkZ^PQaxd z)h3p9gHx?v`?RQ?PDnM!c_ehSP_No?G&ovGZFPh|p<#8=e$MZt${SdMEX3#%B?jLh zy6J5I#}AqQ{yVD6yI=|bQ#M}u@JMqv87o1B-6#yzK}>HUaCn=?Mpw5Wa(L%U7|i7z z7X|9m=~HTdI=~>UypygqVwtQB?nJpTh_|nwUo(Yy+xY%3LF$9=0nK!KdulHn<4aG# z^%Hc+bhVEn!s8W?;vL4}@cenj;uN&pymN=Z<$LM0slBAvKCO5t9^Al?&Z+&iHyDTE zVCkuIgW?$Nr=k`*0hg+96_c){uuqVT%_!?}A7ezCaG7ASr3;}t9G=vtULb|mNgE9; ze6Weq37^9%2f^i(MvU{bQA;9*|V$Z zI?K9<7)JDsb^8)KIrR_X&a<9WW1v5>Cg@Bv5i_^aEj;N5t3G=D(q}2ve+}(P)*_HX z?F7RyBE{+tPEGtZ0b!0u{4gB4@(jHZ99VFqm{Sb{MvE00BWr2aa0z91%p;SER$lSdn*ZK}HV>u`;*dtt?9o>=`L2B|ec;v8BDZkfBQ}iaDhfTJa9D+)$}} zb1*?qEh&ZVn;WE5O2n*UGzmcp+~dG0RE#C3#yF9JKcq{9exv0w)&5Kxg+w(+v~foq z_N_cGRpv&z*RF0jsIj!%LTEoDqaKAZ#Vr{wfbISFp z&fCaPW@CrO*`YNiDf!MU#K7V7Jhz#g6aYunlZvfzmY`f48>J9Uvph)rDZi3KqPABh zz}O_%ezM8@oFJ)(euG~2oV)^+!AAaBkaJ|tm$}3$V%jm%;MDyC-@-4zDO^payH@Szh*4J}F3$lFwbo~3nqI?(A4d&8`C z3`EAx=*3%Krx=_0%{HR0_vBff#aeMmkvyi(O717uhkllZQG&yJtNCZ9%BM(8Bb}m6 zznS}~t9~`=;f!b%yQnw0#2M=4UB)z^d#T761UKt_G^tp%dUv{dW91ly%R3H~&VP~4 zg9BWX%hKYc#}W#@F7IsB*<`FQ?G2|_e&|L?PEFiZ7)(vG#R|r+r^~zUq#=G?R%lh} z7**$&eG&B;#q6ZyaCMO}X3Rt}UEV3IIBe`1PsK!|o)aJYyVtUUI=4+&;St;{`oX(K zXYRh3ErfQq3Yr{)4c=LTk>a{AU4Bd(-3mw{CK+5$yh*cx%iNVQ(~a^VgIIE5Z4Qiq zT)yX}h|=g!)61%CQhJJ2=RHj@n3M`Cik-5Yfl%MP3yy|idFf$99{}lgb%7nd;GNU4 zvQmgbC@Y#$zJTgR9PDuB7zsXBozidK)!9@F`eLO)sdGOt$Vv_vFt7sNBbMZp)GF6l zX*6XES;QnzEAzThL?#$CN>%?&NJ_x>-x?xP<$P=D>e`3r%b`fERE{k}i) z9j#e^LF!L_qgsc<%hWeFFTbv=SPv^t-(6ezk@v0K9uALLxh0mhm5SvTtNne5Wy^>@ zdRHT|c0|-a;Y&pd%zUNT;3S1uO|Ncw2O5?3#zkYI zXS*R~6f|Ovw$8b4&?T0d82}Bs0+})ulkfkJkbFp!FKUmTKZ^}HJ2a%3=W{EMT!FX8TJtRG#ywcAD$wvtPdcXC|84W+? z2$BDJ(gM-Fgx<}Ji?KNcn-$9QZbI;=<8X_J4;b0*aSQ+Un)UA%F;5&%k)kq3(2_J9 zeQV~;go%M})npzu6)cU{t&Q6BL)VkiRrFWwJ9PVM zjld|dF~fs9a4Hb`4DtrN>|lvD|5fAhy7uf44BG~ zuxJ{L;-FUptaDkhvtTM5> zWTbO{pvh#M`b{>J^3&>8C><>D-^I6X$C~0*$iNCb$k1%k(emCU%H=Jea=@0JNVhn6g3Qt8;rFrEHxCgjF(#?&VX-6!?E^8WYF1npL6)HSd0!nx@s z{Q2&Qw`QRm(W!}6LTV6c6KhJ1*6PAPY_6-vIL^!UUsj21b2MePM#it2)1xfF87WNldhOnxn7`NqSHch7r(Ue)aBZEPY5S+9a6BbVHpx3oTG7z=%_*9eyB?m_4`2;29}< zE%A08tJ!R5!&*ja5E7`Z#Gl&cZKuep0_#L;?l(HVDCSm`)XgA%$gk-nDh;mU$T~Df z#X7{4%Hm$*F6p5bIoB#8>V}pe=3rLWPF+=LR9-ljn2SDZRreLgEap8H&R5Gc8nU@o zIO?48tk+9)Ln!qz$Fh?s9Z=&HO&fpsTR5DTh|dVYBjg@R3Cb(iXNs+heJJc&-9kE3 zo>ic}28*!PLEzAvQ=F*gy}$x{9Kaq$E=5AK;ax}}Sl9IWd$_Pnhcu{FIMJQ^(&qB( zpU=%SR>9F+daE#o!otMGs?+)7-eH)b&gE*T4>4=+TWevgUU=PQFiU$JLJ1BlY4Yhh z5Abdsd5KWh)K*T?VlrDjyly=#eZ^WBrS+%3LduJUJh!ki21$daC8m2@17=Ql-;>Bk zILFA|KL}l$c#qr04b&a%pAMNjuv1I%m1eq2n^UGuxF=>mKtufICd1P46Do4=# zzs%Sob?d%$JFZtLAws8$ldAv44klW>nF~2X<6<*}`?$E0>0vHhRvQ*i5{9ETb8VPM z-Z!AealB?Da(?`G!gwSL9YvKT=s~frQAf(Z$tpl)6m(Q|V=AdiXV7Uqg2i$&V2(@q z6;_SzF>i%45_(X)r-%$%ao@=0+x|uxk(Auq4O>mQKs?y1x>ftUk|xF1H2u~en92iI z=4oNOXoBH7=($L{>XAo%UUp$sEc2ilP;V#D-bT7l+97BT#8eE`JA)Qeb+A2@g|&-i z6y6jqMX4sC#0`0rHU^!6m_u+OuFD*zbYG*iKh^z*po8+7BZs*cvFZ)#QkOMOYRa(a zDUU`rLU(3gVdnuXGZFzciNBUqJB=5`AvKMS0LHr#Gs~06e;-QZ$pLkVv9zPn3JsbO zL=waNhpY!1P2+aPOY*uvzn;Fqzwhg14xfIUK`5s~;uUqdEG-`lSVU@mTDM@k+pj9eAhX=p4H~ublol*pTWRTp4G|p6RD)J!ZVbXwpRq9fRo}Bb z@;@J3T%nke_t9ryh|RN9!&lK8%GRUJ`HG?gS<&aqrP`ch*_B%em8VfL$&X6SMcGwx z^o^HNb)hWZ(t1H7N-fnref>2Bm8w^|9L^-$bn!cWm2!r+LL`(`$S%&cR@Dk3YZyum zldA&z#41kCxa67}s8)k9OVG%EmcG8nD--!SsE`n6fd+GssXo* z#D1NbUDNaebpjVE%~fIG*re|ZsOm8+ zjXr+AwISl1RzFonEKh*_%i9mk!}J9q8wj58JU}bF8aJi>E0e5n*H=Eg zz}D4GJMsptWu_V)ymyA~mZ~aU4=dNl1)oRVu7i*3b|KZOzFGlYz0PZae3KYS> zk1(~uhJxHr@z?2yfYdecfNs0uqi>&E8F`_SzUVOa5-lY3=BAYx=N^&mkd3{Y`tiVL zJ3QeKL^qH%H<87ro2Phs&(OI?bveJ~+%;l467Y$58ldPK5?FF_pqOD81)nD7yykbu zc4hfO?OXpeki4W#wQS^YGGWhXmzvPl{p?;;P@ME%>lJwdv9TwhOS9cjE6r^u%}?rF zQ06*YFZ>*=TVYoNk8Wz;A)i%Y1>>jZY+BLE&GD@_Fr#+1GqRachPxNZWOg&Ujm~+yR`G?fuaAC5&jlCyq2|L}q z|BgYvbH|QN_8}e)-z-#EagP|WSU)fU*qf$%Un2#wqv$z2f6_utRMGJ;_vDOElP!zCOJb_LPDoz z`5Z`5nB<=aTesUU^BIxFMcmNr2YQu9d436NDNG3$ZuMVo&AcP_IJ^YI|p zvg)K6q-MKg_SJmhrXpL+M5N{o(ULP*!BOh$H>6`fDSCU0bdWlnZk|Dwu3P^U!7fus z$4aecA6CgoS3l|Qc8@*fnWbo}!i(D7(2P8#R)EOLwTzl(D>=LZRD4IG4(WfzyC@7u z>Qun3MkR^DC9vv4sE^(`y-$-YE3X47tU#@-pk_sBCydQ;)EFwRzLyU95sZG3r7NyR zWG+=+*P1D=oE8=OnkioZIBBd;6nc6ot?bm-3Jj3xaHgvma^qGIfmNx1pZ};OHq7cZ z#i(>J`qoP?tv+HdMzxA&niA`bMCFuSV{=eR6$sb{psZ8QM)~=31jQ`FM1TrKAC`-^ z0>2Ovn>o~=YR^ys%Uitd20@!;UD zt}fOVYfs*AfYFz>#{x6PB8k^xh2hOsv^rhhaj0Vd>v{DhgVq44`2FMebl%X)1vee` z#_q*J42WKaT+^fHU~?QQnThCRYw<;Y+$kMjmv>W@X61ghH0<1q<&XL)Rz)|S0t6!k zv3|jcz`MYiGM9I0RInhWe@a#7W1OwPUyzFU<$J?r>aT~`W@S!bn-0%=Om!u1;V)Ji z8dqy8NWYw#4G73Jmh0zvL`^FDV)2BvG zl@$6j0@y8L9WU=v=L{t}yLEY|4sWbWif|~qh zf$Gv%mv_oV+{uR(hHeZDj+t(w52RHr+$KQu+^0w-r`~Jn<&fQr>%P?9zxZoE4f}r| zcQ3MQ(LIpoyB9zB9+$249!M^N?q2j_=pM-1_V^yiE&C3?;3K|IdEHLREml5yS5~b1 z?!|B9T)YsvQ9K!@IO@_3xdhFH_ArnjrFC9qnhBcWGCFfv_ zcp&?(eNJ&bT|lRSxbN4wAVTLw6EnO_=vu0}H?$*OBZ!2Q#w+H>NQ)%7(`~H^uK(Z< zSoLX^{-E`dkM7@}dUi55zW08X@R!yrtrdK)WKYwJHSZgI>e4Bkt)*bb)5d|*$QWE8 zIENgQ0n~}5bExr6aK=EaPmYDzAa9je-`u43|}Fyz=q&ATUVg!t=YC`Xw3 zDQER|3-XX{=p9R9nqG))l~xg?bC`bMH+HgVV5sK>=j73dk~1ts{WT1xpGb<~&`ap4 zr&^$N$xgmQX2i< z1PHOHp*DG)Q51(LK~9y{JM!B?FL6Z>NEwE7AT1x4osR{}AjK&@^>7TU=b$!PC)++N zc$-HcqrLc9#fQ;zlfWycoPLG_VR>^_+B!u&hkh^LqR-*z zUu?S_Qv(t?{XI6Hs1g=ofSoM*RQw0*T0W&3c726{@diX2kxIi&o0^PS+J+Ow5corx z?hno^Q-(PrANIvLI*>z%9N%K#O@(b__1XB(N<}ad^B6EWMRpl1&#G^grH!QD+(1QX zt>#fW{iK!!%>5I5Kl;25)il5a-grX}XgOWUCWt(p>q}WJ2^e$~H7UKPHb@GOi+yHQ zndfSrr7E%Y_>@G|!CA#jn)=OH5+JHNurv?crq3k|4ve*bAAu@I1RI_kZSVjdV`^ol zm2xIk-Y+9`LgyIq{Ee2EimQ_s0u94=b+h7cM*r*4O1BP1!B7*7%@Obn&@5YjYB+Zd ztIBA3DX&u2vVh{6lTr>K`@f<|P_TMW#i&IqnLRim_s*CGbO#$n^XWFh$3IQReoVU# zw@Ng@I&n;!>m{RYwC$(hs9OKr*W2gWSje*@@*&@>X+25?Z-2%x;c`d~Bgu!ay!}Fa zJb|%UX;J1{nWR(w>Xw-?6V5oZ;6=Q2`3j_^SK>#|NWX}!?4JRoV7*GEjMfqfovIHuCV%Zja~zN&;-avn+YL77u!#Z3rZQ!hhyh@6Kzs7qb^wbrRK zJ;Q5y$jq-{ajZ6rt^4AHQ>#uZ8E=T!r?sdT({KD4)jC6|+;|)gg@SpMsZ>#LEC(af zh%Q0XVwLD-bEUnuT+1)jj@D3zH9h&L1964a8EiR-s4|Cy5b!azU37&>+4s_L% zI+laP+i8gSKfAsSwg@ z#Tbi;?)*0wG!U`09Ors;JGH8{{RaXIh+__~bj#(bD0WmYbH%D-Gbv0fuahAKva9LK z|2*>f#J$I`4kcrUznqA4I<`HovL+*~CEC))Ug%w5n78ijq0xH3FN5i++Q}NI(x`m( zqD(!G=!7yDucvtkJw+6mPZW5L*jkUWotchwkMA%34tuXDJQxpmEaM~Y(eJ9 zk4{6bA*}xyxW(oWo4)#!H0K~^a!8WPTc5(cJ9?!y;_pNc`(f+9n~BMuhMt>x zLR-{XzZ*6VpS)K*xsi)t4I!BZBJRV)wd*Ldm zOm3#K81k1=gOw;NXfi@`#5S8$YKExUqcn$b3<&c?t{93Np?)aK8FOzIc&+&O%3o#C zgMvf}Rjyz&uB?vXlvY3aR;849ucyDu-mlBc8a)Pk!4sa`9|$EUbpci?Km9^VYGZ-I80KJTPcT_A=Y{oTdyR34O7GeqlB!JfHls*}6-F zQ>yO%I*sb;SkV-vJDsIU3F`2D`20U!k`O|(?&AJDmj9TqhbQcJgA6WDc(m(VQZ|Y} z;A(_5%{Jy0---C-B$+D-WTxpe{%)*^CilwEneRt-(7B<>$y{%s*q{R)!fk(Ei7_Mb zDI`r730hJd%$0(Wt6dU)6~hVMQ@*j#?{oCd*md(@Kksh9u0cf4+GpLgh>kzFl%@gp z#=Q&T%=Zs7O17HvK-!#R_$RlKT}_|;?cPo0!F84%LNY1_#+N7gM8Ib+4BI`K(4mk} zdVz!X5~P5{IMLSy9qH;@JyhWNrYT7T_~(@1R4{7y?Eco)KnlZQs$ zgSbPIz#BvL!2+4_t-lu5oB8T*wsFN+#pT8(KUMNwiyv0k#*)-UC(Gg(&xCiZ%@b}V ztG-I)&xx$N)r!)sS?AjlsZkTC&}nd@Kv9#(WouJ*FETp%WkqSXhd|;Bh(6FVbo#ZC zj}*xFd+EjVYi;0>r_c95vfGVEOhCvMnG>4$`!qW&pXh~elVr~+135C@s~^)o_h$zw;<&k)k-{wq@MhNVu;ZDbrXymU ze#TBQ9pDG4)=VLSGB%>84`KwD{b^mgA)P&}p0NHQ{m3alw9j{F7VfntuOmgYSfwx& zW~M_YJ8laZx(N+L%lpK)>JbyZpkqy_jlI5A$>ph#sy&b3Y%J^tJ-JViklT~&-8-3~ zj0Bg=tyBBm-@x$SEW77OF=mm$MfO%w(}L9ZP0@3Zq?Fk7?@*&=_KO%}L!349xm@Kk z#Kv{u`u5iIQgp}8Sd9lQF>q|ppKE)`sho${Asf(E>f&NzjLz{>3ldP>S*99 zOb?1#Q-z#@;b@31NVUQBqfm3|SUQfSbTalvFe2sN)-(F#8z9s&iq??=Z*?Iz5n)7n zxs@hTl~ZoiceF)yj9Ce2aG3)+lwp}Ok?Kx8DL~!1)x;OEj&l#jc?UCHiwW{5>7Ho@g5x6 zkTn?!f|XIFtsY*HyTxjJ^jV{*^u}2pCqV6K`kh}!eZ59%9t#spmNG*xR*;I3-}P6f>BSrk zTrPq+=1|e)wkrM0JE|ZOH`>(@6?It|7rO)}gObcFx2j*>AwK)OE~ExRMISwJwn!E4 zL8p6p$DxW+HOBN?#ZnLmLRqQQN-Plz_42OB%tnE$SjuX>!M6=k7t>dMIZ^+8+`Y&$ z`Th3|j@$x$KYS157AqgUW6rV%(mJ~rKhnPTr;t+}H>*)913dFr{AcN*%8aVI@~X~t zBp}~*-DXYSob_eDS2Xh8E_BFxkxelJZqpdGZ+$5oQrqUc)9qo+(#$dvq2<4nF>fk~ zx|odSp{S!`U69HR33C1B5Oc{|Yj{=~NiP2zKaSR_1ff;```paMVxyJM==@@%p>wfz z-lg{$9hF8`EJ**mZ(_#32-fetf)M;R$pc3AJ*!waYq_@iSWieKD2Jhd3V*uX3X8f!G_*o zk8S6TJ7wua!F_T`Iwl2qEJb*ps<^v>epuRhLFDrxng*Hl@k16yaDX zVrmXh4h-LtKs(vBc-C%x)}nJeacG}ag&-_%Bjcn2ds@@a%xT>T0t$)g3Mxkfm?GVKtWA~{fNn7jhKu4Lta zk+-R5n9Vb1pNlx$;?o`2Eg?opUH|bWYuuB3D$;ZAI%MJ@r@xURXic^}0E^ibd*v#N_z!a+D zJMFZ}6>YnRQ+y}Sb8n0(1)SnE74bzWHIMCL=}+JN&k{v=InTp;Mld><>mwRwG%&aQ z#!@@wK?W9Euu6vriitpE;Ee(Rbqbc#5=@+Z-Qs+Ehng08KTFCLPgu23Hd;-F7NfNo z82a#4Qdr4|L8}!u3b;Zr8BZJ^3w%nb^UmuW?*GNWDCqi{TZ?*Gc%>nK1XIde`Szi=PwimpHmc5Lb+Eqc?(LijJ2^xsm?`w8X zH-AtZk?U}y#F;r1!>{iqZ;@ywkw zaWck!O0-&O`IG!>kQxn*ln%h?q7sBxe|P|^$F&HhH4Isgc9}bqZ!@!k>Q-8>XXX2m zl-uNy*0DJ*jfbRn&mXv)hN8(tV`FczL*({_1xcxGNpqi|FPchoEBG2eg_#5M;?bGKq#06vm(st3;i}0 z)v0o3J|qn`4$WTfSuVc)x7((i1sl&rPv-SzRcq!MqdM&&4UI>YhEG*$B%wSiyI5U8 z0Wnv32St%HxJ^Qpo;9&O*y9P=z+w*Y>|CQC1rNXB=dzYF^)4rGlGicTis!&o!aLTLvqwf4m!T!HD}K6mDa1lz--tn5SoU<8hi;UGfMgxt6mYc;o8ySnp}Kj#3SaYpJCI(Yuz+xMn)XV*Yw@b#yWLb z?;kasGOW)1t`T}?Qq5vEYF>}=4py&EMr@m)$)HC2xhpyM-_EJ)b_2O&+tr60ox?^9 zVMgWC>gJQ&cdr_6fzfayVC2(A(qFf3>{%pI{$I1bj~Dk-4FlfFvbT#E9fz-5{;7G( zs^Of#d(0(>26idfRWb|)hf&xMMISv+Fr!1HzB@gj(YV~~n;o{``;`;FYY$7e;jVhnZFK|!Og zlL|pMre`dLd_x|0A-Led#?{d@bLS!fCPsIRUY!J%e}43Bpm{a}+8XzB1FGrpF2`^G zd@3rl+YyX>uCeNe^X>P3fB@dPeBUKIK4L>@boo@CUyeqK-HRcyd-0COJ0CBp z!{OKJ;RUHm&DZgqsM(9bX3F$y82sq$=>oB`BKPL~U(7jn$6!f+6KT}gG3Z8xMZ51_ zY!d+p_p!Y}@YzYpHl72&Km9RwKz;B%1{JMO>GNCI4HVz?OSi=IJbUe?{K_xT9G~}{ zlnumg@%hdj@P6JW0^IGX#fq_j4ASl`^4rCl(4E@b-$Vr5N?kGuh<+|~;M*;#{pvkd zGe+f&I&$FDloqK)D@d74v(zbDIS>{p_vWF_nDbqL)-gg#HOA&lGg+E@SxM&XUQBW@ zw#3GmGFb*velw%lP`@)e@sLj|Vh>V`--vl`M}*y4e4iR@kOFs;tkF@B6Bzyp}QDUw{E?$S57PR z9dDvB*6CLzqTD8UXET?=$!?J0SUGk^kF;YFp+brk-!%b}Q8kJkl&w&>0a_5e!6BF3_1qwk$84gM5_U|aZIyNBSI<0E`|w>8#?0Tgwz-v&+;1F6tmfqG1G z7dhIdVx1CmNp`Lekh|RUL-~eg=N>CMjr(Pd&^ca1(lyq0>jZ*HU&5Jo5G*0qukP(6 zf%U0A=CYPR!Az>=aS*ejvolkQIgmOn@r@`>dyQU2pd2YP=oK9#6@p3Xz5=6z)yOO> zhWpZ|isPNIfyzJaq8Q%jrVxbN)D8!29o9fe>cU99Anv96M*Xp_- z6Lu}U|Bio${Jx}>Y|A_-%*}Sgxq9=iYB-j%TgmDeJ2`con35|i)#iA4$Dw1w1o>p| z6-FJYj@XC=>Qx~%oLpVrMK3-PdufA_715nJOJBU{$5^*ga&s&da<@`QA<=Wkd;4kn zg@2X9byjq{me8x&ks8rWmv=?)0llnVKeB?X$|F_=_wvrxfTZIs$F8U-usZ&Axl_k9>!h!sWNHKYC|> zwR`dI17FyGJ+mj;3Vm?wSu5x%gFEW8^m9gg=hqhiYQ#JnW3B00MBpiJO>N%S_8PVH z0nVotgGwhA}OoX7)KfkUHQET2fcc6k@ z!~1$929rLGmRR|KbG}5*p;kkThx-H4Rbt{W{Z}6tgpwg!hGVoic^Ba?v=YxWPgBd| zKB0qFe?duv{nu8y^HdrdFPjkOoH}BOK9P||;UJN9$s^D6a$_HTsreh0`+-HzQO+P> zBgH3`t9^!f6FIc;+TYfcVwCS$__ALz?39l)7mm%LSdWr6lruZS;}9w?i_4fK(aK^I z(fL3lDPi0#z87EWcEdUgW9=3BP7Qc;#%_kG<&UvzIw+M=`|fDQQY&JPdXX4LZ83~4 zh~p6IiIewrU$xS(dHpF5QK~R|u#4C_TB)($5uSPVwVR!_=)R^k%O+pCAjB+6bX!Od zqJdhc?HGOztEO?ymTfihpD9iC>g5;#ZO|yPos|FLm-PlAcw*~26$>dji2FmR>jD~! zt8;?`sO-_kBL7q3bV;c&wick$3An)I}7 z$zDY0#Q7^C7;Ng1y+83sq~igbXO@cbh^aZ$&LgL0(roRq#v|s3*fNebNJKLf`Z=^~ zN(}NW*@yigiiHrX&a1i;Xk=tavx%N;>7R4D$lafy@nQ_Q6Tdf=L5=&A=sO&U=c9TA z_xsKsh@4wc9J35{ZCj<4Vw)ja5$`)%`iszMA@*Df&%C=~|CgkI6hnD`hyZW)Ayn1lNNOP_3kT)xirbq*@LZEr@&^6FvisNOeXa zuMTGxe*6C(Dnn`MZ)lx)FtR1ON3}D24$BgRn8X>ExzQl{hw?KQU)=TAGowIJqLnUO z(MK#*x7Eq0j}USL`Dj!t(V0K2&AIlE|2BD&$E##VFA5x3@K!fbxi1K-q{s0d>2cU* zEQZ!xpL6UrUmO~y7Hgr>O`YR>gQQT&uJ|Pf=h4zuQ8y&U>ogkHQ~StYv+8A~Qpia! zB4M9#?{&lLQ4VXLxyI-UoQ_OGZ%}QI(rHa3IHc%tl+_!owpdVtZZEd5Gt3Y2tLltO z9bZ58_i=b->EOKD@(R6sV68Zhng0yz`zp=b^}G$MSOO8vwh~;!7V=D(8zGm@96)u$ z;qYqa@BaluhPop%8(Fc=n@A`$UNT>y)FtKnZ!P(l#w*SVqK)w85m!If)(2$PrDej- zZq{7e>ptDtcuSnK8myGR@pYqhR(pR|qfhMg?%nUrcKh(pv`424mDBDzPfk{V)RPof zc=Dzc2iN)tKr1rcAvK(6x7!XWZ4QIhN^nK#_7-Vd%Yf)K{`16{R!Z~ui9a8kb>}L9 za^KSMSzM22W*2f=i!`nKg5GR*0lW+h?pd&F48u~ZZ0fe|n`G5eaCPy^LvqE&pO4eD z+ZL1bQkut8njYHRy5DHif0-SzxB@GVFFH)+JDJs|&3N7x6MkA$B4yDwMdCaVXmdMU zh0L8B{w>$halarm>r-UZR&n@@;-|ib|g{@4MsVX#XyyIT7(!n6#qsSP(kEF{Ea@wchWDt{u-SCWD%K)JJ}17AtOj@U98tsvPQWFy-g;pATGRAWYdIL)vDs}D`PS7xo!%fT4sVlh?gDCucRt3@F5i=T%NI?)Q2JYH zZ=PE9U4YCxfI7jdoNDbtg$s7lOzyjxF7K$Zg0?&S45NJ-pYfezx1k7DI4{ z$uD&`?SfQ<*+6xw#G&m4)2sR0TPEsty*;nW#j&P$Je(LF8a|cJFHm6=l z%=AOMQ}f-8bP{Lyg;X^c3+asq6DF@l9 zkK`1Nht{etZ%a#-WJSsM@iAGb3HBwr(3fIF$<0EZkfmt<5QFTk@N*p5|N0 z;d)A8FJyeFSK1-d41IK_zvAqx(!uC<1~j7{z?E#R8MFs7)*|EC?)K6j?N!Zaot5PW zEP~OfOAe&Sy~JMJ#md-|*Ry4bg?Z&!EGzL%FJFR5j+HIIpj8>L9ueHjyUM68`7#Reiul>N z{~!IM+A~UNM&leQNSAjUmQr>{|ACe6{hpQj?!}=*{TZxu$&pjT=+)&N9DH_yR%TrJ z`fa*%nIVJn5UE*=hE|qxHlkntt8wu12YtauTtu(l{HZ^g$#4A;H`(*8i9fl%sqh!S z=W_bBU;9Dp^>046`psu=alWs=)@%&_f3fn>yNcy!Qukku{)69|Q(m6x)T zqjIK~w^~ZjE$b_Bl;NbbRYe=Lcv3iOj3 zB`tSIEbT!lR!imG1XG`JorFj8tB zhfhPA3@E8-w&}-y*)+ZA8u~iW>z7C5i!n@$8ak45S(9gCOr43r@*)-Km9`qIZnIyd zjfOhaAta-!V$07V<|r4WplY)z(N@;yP}7)uh|NYuE420D=lvrhWvuA=BohDKv;;+4 zF?9amL+cmitv;?)xJpq+ui4O4H*A+u>rmZ+hO@A%b+S^b$Fvfyb?FKQ|J!UibHa_B z+CO(EsbrxyM{BnNZ8iGdD>>zzj_@pP`g1>gh?m|Ht^7Hg*Uk|+o6+Yg_~-d;c9rR@ z7b2>0qO1J!beK+rL%f0p~!v z8d!c0dU!>I!pbfI1*L1ykywfOcjfhUj#1ILCE06q1m`s=26h#Kjz9} zi3Pwp6lksJ>j+RN&uc}+7NNq5^Y#@h8Rz(_TZUhMJQII#WgHkTHdf=8xV}}Qi-A^T zkCq-0ZiXip&t)9(Fj%=X*Wyx-e9J~1)hWSlY_)f%&4O9Vl3A5zD^LI8e-f#Vy{2Zr zE2)__O!gDjyYmFMpF6@ZFu=L!fk~N@d~qUqcI4!-Hwd%aoP&`c1MR>(MAu4#HmmDy z>FDy*Jg!SAwKZw96VvqG&#AF#$XQedJ+7Aap>kF$(_=D2OL3`{g&dnzJ6zK?H~QY} z_rDr}Y^OM_mTn25vRW^WXf>%R!(lpE?_G!=Es|RzJX2a*JJ&oHyY?GmrZ4(QSb34S z3@szkCTnkvI!Z(H+#V92p(vjZf7f!X|E|TaC_uO{Ny&89L8LvdOc+VOx^5HId=MrV)GH|D}a1Na@y-%xu$-~kMlR2y*8oTjh-hfwFTkww!pHl$`4_Huo!%C7v)I zImG@WbVypPMYQ$%f`@V+|Bh93J?vE^D%|VF#>mcl1`(adE-MC0-{Zj4c2SWir`@$m zlv`dym?kz$r7JaP_sdxCHT2MAC@map(3k_TnX+7N&SQ~ja{a+iMvQ}LPjqJ(^vE8X z9ZFkjhde*6wZkjxx9uvl*!WX-uANG_u2Eb`4ZH$rUEFF6XH5$`r|P)OPgI9&_zw=q zirtyeINuUG<7Dj|Kt6`-rh{Y!TlxPh&%nsWUwO$o1JHAY*>%Yma zAd8(UXED+l{cdB3r4CIns@xMvmqTjIkTY4Y5%yi4qZvaufa z(6-jrcn!LDU2SyE16v*kpV50~&=wpsf{QP@jWtF)vdKQ(WhAzT`fv@QGHJ=D2O_iV z8mkaXbU}C}IhyrWavTShNJd;@S?xA+$N4UprJs1UCh$CSCuC`>GK{TA(QPZjXnyXW zou(J88u{Y^c1ifRzW(FgGULIL=O&GzQMED%2$b(GYV467P2{+a@MD_SJX(83zW6H# z{Q5BR&uBekzH#eG%e9!_oNiB_@vFs89n%%xns|$bNye59ew(E!#ne=m8$sq`b7nDb z92wuo_*`#CnUtU?`v?nR^r+#T)KH*=eCLz#ITr64FlEe{j);t*+@SQra)3AYsS)ah3 zFrp9BZV0jO5Rd-jW(B1B(Zb9WuChMg!;%=+(GmcEWfBHU+~q?%IF&B z9d$orqHk@i{z`f8n?=OpCv`MpB_ATVxv*Oq+N4pmt^Gu$Y6k)tGg9*W=I!>kzR*BD zc{lED^5SHHbaQi|UHAGS4-oI%!FcE694LqBlZNq9j(yHiukEFo!*t;Hr(?w*H;3`Q zk751tE-u(H<1a@~FN8T;E&cXHc4qMV)1+!+JEN(1Iz4X}#_;yd$rJ&&_wfym?ejk2 zE;xqTzS;U)c-sqNXf;AW`}y;}x9I3;!r1e-V&wp2k$UHj`h)N3+`iu?>GwbaJbiRC zg4*-d+TY|?=?Dg-#x5|7@n57o(&0?VEhOp~hKH;CpS#E{-*p+P&IAZ644S&jR_b9b zC$g*(EPgUZkaBDwMP%ay*I)lw-h1keZ$&m2r}SXf>lr z9iOOKRDymE?_3T-~nYsTV8ERKP(BF)HCgH$*ssAEruoQg)f1}bo$o<9#A zeWjeLT6L0&T-gUHIpKGI9hZOkSEBvWDER@>o<#$p?mg85qySdG9eA5nN@?_{Yu_Pt z%|0kNTNM>5ranUY^<9_Z15$8{(x#`W_AG#e%Bdo&p<|TW9vCe*?4_iXxw1ZMnZrmikRT;gN>c^@ANIFL z>^KQBHDW~UUFb*oDwlV?QPs<(SIFD%XSAYC?+Pn&0u=S-ozX~1Sy`bUZw*v)2I`AS zO0HX}4j)s$S1oO03@gOY$5vK4pLL=;bHpnvB6WG^xCdfd(T+hZ)&kV@YuphVLAab% zIypa~UI(cjy}Zji4!t06bfdeH3aJ`7siBYl7-MHomv^I; z`sJ!OXB99C*A`p#IQmc;=@Ys53(ZN zE49>|wXktHtSA(*9?Q9v^e%qpkDsPb{R8>ki@%6`UG2v_9_hdD3%vxY zH?-J0Qxb%=Sce1|CY>&Vd{=QRONS-qiicEF+${uJLnL58d{Byp1~JA&$s^~PKT$_Q z$6BF8s`~cqwrP4%bej5{2Ru!m{1phyrEQAL`SdW`$KYFL2r*zJI|1~XQisR@+2r(XBmZXG{TsqY|PkG~CYc}G)rJ1+6Sm$5Y zI%z?Pst&*T=T;Na7!z!ST^6FlGgAYqpdx(l5VwgSGIG<4n{qY6nq4Ck&hn1tk}YIi zuN&a|J586Iq@z!C^B7I@6y8s#O4elapxqRwg9FZ-!;6k;;cF#!F}|KxmN498To!Dcy=QFJ)Pj*{0A0bz zRMb5r2>c9J>q5>#WP9MLM6039|Huw5XeOfTFa13qaXW0ljcn$NN z*bQ5yc`8g-uB$2YfBsQ}JmTougZvPSS-{xPs+D??KX2v2*-0QXFIkY{ake%;4N;iy zV##2RxM)bWenz@9+0|?|OzI1c9mL8J7EK0M4hfnrKRi1g>Hpfm?tmIk(7VS`#B zGmf(y@){lDTF{84E)+DHy}6qCMP1~hSISKo-Mx7Ds)ktN4X1+ZiQGFYJxvzX79}I9 z=K9%Dr&Kk-Qn8=bvY}zmLNGuo830Qf1$He(N1J*1laL8Ve%Wm{y~Bu18NMwyxkk!v z{m{;Xmr7}E!EV)*70t3a{ri82es9ZKHSMOj41R_(&=_LpeR_j1k8`#e>w`V?pDs^X z2B~Tz$^H`co1GC`nHZ~8qz8)=n)y5T!+qpHbgjs=)>#1Y=l?AZu~24aPyNKMjAxLm zeyI`QXF_s@A<8ks;cDa#0hm>d*N|L$skaCQS$^aVxgPt8y797j!Ck8_qH&CbwJ!}0 zT2V9-N+(y9vm#uC=8C&T%qR!=}vwx=3$J}DBI@J!rgSVDlDzx4%6j$T;($5MzV|#$moM2t% zRh99r%l-bEXFF>*b;&JR-ejJa9`|V(Ox|Lh3z1Pyf%TZtbCmN%?Ua5CDBb2_Lqc0z zEPUW}Z{1vpN&&+t2tIR)dolse zYK-Qovd-$IuJp3u&a4*BDH7{2N*(ffDaE4E9^Fy*H%am@zAcXA-UJ{R)-Y6@#$ND>L-!Sf{K(%L%0}JW6WlF>`wp7lRntmLrk&#v08& z&OQtTMtr5i@c{u+W8DA8H%-&0xYOaSMBWL-C5L$TovYaR1R{5IQ1hm|%qpAZAe-lA zR}OrJieI9vdr$ABm?B)yF#=p}MDlwS@xZ}PkdNJ)v=1LXgq*+qe4K8dG&ZRF0k@wD z=<7&*bkALLwR*ytuT{{yO$OqOxn>iGA4X$%Y9wl;-hz z{s;g#gaQ*So?{fDJi(Ha299W*3MY@Aj*e8DZhpvrPAD&PV|#N`?@Mxr!e`BSmPI}K z*rNzmFE-%kXHP@yhb$&t%3lT*Db%dB#^{7&P?N(%C6nlt_k^WQIeGep?L)lhWR^prQUPP)Hv2dZ4Q|#w@j%Ti+ zr~g)h)QVYi(cb-w;9q+HCN}Q|2p>%$cKw!T=Yj)a(7(K!*u8ic;Ap*MGH~EAufP4d zDnNpAB_n!o@vFYmgpK><8BxqDx8{Y|d!{7~mYEP(74Dt=2 zarfdC-;;;*`@e)=(RBAB2;R2#_4sSw()b1PC;Zm)_&wy~seYlAN&3tke%D8LFHRSx zPNQ7E?~eNOJ^>99-@OP#`4#Ix)e&WS3Dv2+kI#^`r}VQNZBd*T+U-dsz$ws2kq`aK z-C#?pPU*@gcgk)_FM_nRT2=~~ImA!eR2 zT{37>!a@*ZK2kpM^a;`&#=EpLX`Wi0(2v zyFQFXp6m-fYN30Wiwn!#NuzdQEw<*Cvl8Fu@|mX<6?Ry7DU7v(WJhe_SkC7VDiIMX zq+I-xQ_Uv{WJQuee2flj$)zk)Snmi>S59#rbejF0X%s>ZJ*6*G?T`6wx*08(-|^fY ziFjAC&%z~2@vBl8!f#Qc&a<23M-eI8Tq~nr$8dX8vt(c8M*m*cL|a~b*mKNQ66a!8*eRsEVN#wX zapVo0Gk5=A_TB~Pwk^BsT4(3$!|ucB%kJv|zQhCBX|C1{1UX=n>If9OZ#SkB8tKp_ z@(^iT0t9)LMImT_llXO~NnK*lbb=a$fKXytK%o{7gFy)jRsazwgd(T~B`B$q6qQ0y z3xB`=7;~+)&*S#$JV33r&iU4ybIdXR<1xpa>#^szzeI>gVX@abx?HDb6{b{ts7N_m zU_qQfq4P<6f}8(FYWNiS^JtA68=m{6$D;fKcEt9vC=8({-SBAO4Ca&|80C1g>ZrIE zVSvKdE4!ss^@F;~Dc4re@XmFrP;~ulE7sB)v0!C~oWuS)xVCg;D`LjPs8sK>>(uNM zT!UwnN~B-ep#1YcMB0lEXYq7z8nF)d;j-p*cNZ!8$Qi;BU#!H(@YApiiZL*u^R0VUHU+Zl*Xsjd|D@(gG*`@O|T*^#(ZjbEd z^VeEpkH>d2Qoc>#H!IJGow1jEqbl3HfUSGT;qU&j_U2_MpSEd#)Dcit zIjal$xoml&*v-3PDnHZ`L+BPj|42--8S7!G{|d2IjSE`HQa}Uf?bBZ}QVIiMK6b@s z4j;>A=dMDMN0YJ3ZRT$=V}MvB^CocM6-iHfQbwUtw`b3#3q`5SQeBzba8kF4+le*x zGfi)&aLsF=zrI^=k`kj-6v=ihi`Btx5nq>QT<$GYSk9px-}&JF~ zTjjta;a&@d*1kvL_eS%PR5eIib3WGt2?h6*0gPBl78p=Z!g>~DX^%n>&^0z~B@ z-wp@olW?03w~j0{Hd(DSv;?uLVIrYVmD53rN-?-JSeJeJRRDoKv?h`?a#5?~sMtif zlR5RWrK^V2>BK@N#XK$roD@oH7WW^4cK1$s;=xtK{8he;rNq40x;c_pyt{_X9eDD3wZGM1Oon1w$`R?clzE;G&;H177-F3SP%2jf7pD4L zk2c}V=%&j%j8p>HISzquJ_!o!#Wx*)^aWs#!QWoBWc7J&df2Ap>W+h+`Q9|_BV&e( z{TrKEEXp&m=6QlhoZ#g^q_0nH#>$#e9c4RG4h-ToNX0cXKsF>^!iU)TTJ*`>Jb&g- z89|7&-%sN|%Jna{&iquux2WoS#DybWiEA@abj{gXE``0R)GN44dkBQhenvycaI9CU z=t}NR*9M9Rq)g;q>`;5}$fn^QS#dW#%)8&+r3@oo#A4 z-i=04r^jN{QmdZpb9%2>SSd@v7@T5R)G)S-pK2P6E2TpTvL!Adwe-|HrplULp{m)( z9QxefSn8WuYa&?fmFImWYCi9`K<(Rki4}?5heaw#(0k3HwzjBYir9@R=DGU<{v{|1 z&W97B)WeW_s$3E2cctqg@(v>!s$Bt?A*ie`2Qy=sZE;)hGuPbIXKO}flws$@vR|SK zij*HnM#-L_M{2zor7OK_BjtbYc$Tj1Zx1*N9#%VBu8ZkW9UdC zfu5)$zYwvSkahS9WneAVt!gWq5nfu0&|*{=)L|z-^?$Z{7NPeUAt*6(&9Y=AopywS z>(&v97!0-VXgzoA$KG>86fTI5)>4caJMi!3u6l^WTm zTmcUy)wf)P<-wU z2l{=%$IBo0DN4WLiP-BlOm*$cl&8*vCj=G)Jm_a-5j_lM3eRa(yQ%dIz+-3Ud&U9_ zV>7ha59T9xVK$^FY~Q0RRzT$~8|U)Mk9}LID9U)Q(gwBn$eW3W!Kb(X#b0_g_2j`x zOgZ`+79JI#dUB`BjW=iqRkv%LITIyv4cq9Xhlul*#z9S1Yhg8kj**5EDlj=mQ`KVc zLQhjJ-=4tck3q`6Y7#*}p}18}JnUhTS9Ks2>|UgDoNZw%o@?+`$1$ z@=I2walu(h*?Svj(K0x{EhulqPzW{|2{gODU?zBl>(tg{`YwUq!D^MbJeDHoE zbhwb|Mr!(mgZ`9=J+5JEE(XzFEv!;oPJEkW;U5nlz!p(#pHAOsd6!*Tw551HX7}PW zeaD}t5$#fem7AgHP)e-5s}@;)de;hBD!%;Xvobadtp}an;$n8l?UdlZ))-%<8%6Sb z465Bl9KOb7$Rh?-j@`Qt9}io!hK-ZW-eu`9wPM4fu52o8OQfp5Eua3>k4>P!RXUOH zz~vSo%oT%5biEu_Hd1^Zd&Efe#JQqA+d|;Wy58Z3x`8@ku@2RsnJONRx&xt$H6Ybd z+0Y%BCZ`q_lgoBVIVwhg&Tyr0M_=GamE=pBDkyOirhTUUF?^u2>IB!cn`6_(hx@GP|v@tBaM z5(@<(aP(PCZV`Z7anoh55$Q-7;L(zvfE##@gqL>-fA7mHlYmnyDmd|fe7P=-#;Zxn zH*waikA&lQwKg#J+P-*V4Xz%cjtqed=p3mzJ7V3CUgB6Y-*sv6T`k7K94Lv0?79}< zQLnUo?`AxrH#WtV*)}YQZBS!Op^S*dYOqMD1na-}&th7i0^6bMT(xH=Tj?B%mJ+K+ z!NKKL!J;o`hqHWXYwRlPM)u71r|XJa-dcaMHb=D9Z1NplB*8qdN;g>ry>^l#98Kcp znAfRN!YGcT3D5Aed02+emdG;*r{JV7&ljjj5ZW?cg;Pg{;*Lh=*2pnqBag~F zCG+n2S)dMA@8Qxs@=Zah8t1XL7U6S$0A=~e0G7|a*;?NcsamIiPoxrXy3qENqP`v8 z@YZ@+H$b*xP8ji#mhB9~;ZnBR=FrFLOeJg)rdgiaee6AuWh0csMRs~Lns{4yk1jEv zqv~m`=!}ZJ9PWW6QaK!}pdb<{Vume4v!^=T0 ztRBPSuFR|sqz{*>=G>Xtu*2lIFx`9lb8z})yBGP((eL$FgFg0EAN`0=FQ0n%;%jI3 z`n~VHweRqKFgRq-Z>-evp;n5q)EQZ!w+z*-&C#`XF`yg^#z(LaSG|VZVC6k z?caML{Tbq02H+W9nx8TT&x?GwDW|93ZPAwqzQp>+e;EE!#`=;ihlC~XSZZN+@bfaU zwjL1!+_uE7~z=9>fNisi5HBEr2Kxsar)S0TvH>y~v|Dhcms zS6Lmr2;w3X+!{k*^FAf;a%zHiyFhqQV4XWNtVcVxoM|eN+i-dPb~tE~A}Bjm{k%8* zrvElAPqh-0z@0%|C}kB)EVLGsD&wwp()2!x5SJd#Iy1Pbs83TnMo=mo@`SNYw&r$= zQIyf$L7}*FFGt;Y+8B=Jd8T`1Sy#txmC;Q{V^<@*XJu6CEktYiFY68=WT$vH`CaNn zJI*TsgK_ZabAseDkU+}7kGFh`ULFCJs1SE&zdMsr3;=pC0%E&Bq97;Qb&S!oRa>qQ zmAw(5W*RPcn)a3ph2V#4<91gNe)22pqi&nwH1F)YQm^RrEx!fwbWkC&({Y$)wyHq2 zFXU{2#lY9gPzTXBeljL5$s7PpsKW{@X>c0Bt1-+zionNmk~ z#L?-WJnQhpMqg-}A7b~Y2g%e=G6KK_sQMI(ZPCCf z`$ZGWri>1id6*qFt%Wg8-05M{BWgZW8e*ExHsa;#&B(nTpn@-^rUIm74zG#4>aniN2qb4I+N#S3~7BOtuU>=`>TyomYbFohhzLv_I z(K@YBHl`Ljgyc7~QiZvuxm8iE8k>mFWp>toL4s@We%Kd+l zw6%1K%sI!iCSQTj^awX)`5n?iq%-{$;K4qq3!fpCvE4Ud{21wIsgTdTbY=`^JegK| zK@$#WwIUHk4g-^ljSAS{_-59rwm%%Rdr7K}ezB;?7=<{)(TYq7N**{M%v{Q*k<%RX z6-RZeDU(xy9EF~0sc3reW_$xSccfD5meoaXkv&dz{zx}gkLfv^{;b0%Yqdglti`3pyQTfNbb0r>$ zWCWIfRPnLe5%0(p_N-wbOK6U+W!+j!Hfyg<)w00^^N4g7#M~s(^ie|GYh{?6m~ic$ z?*fq?aY_u*_&cw9m+&;mSv?DgtZ2YqotGs9T~|j;DheW_H8OLwVW4*yIm#=bS}9hf z*@gwS1+QXWL({2wl?!s0M2%nSrxyG%7{^T zdG2Es*O^w8C{_W@)Q9nZ>pxZ2g{3w*SLXn`>Dl-# ziiQoL*cd-u9fLB4CR3#r;RQsivS<%9!<5-saPytYGuF{tw|zoY4HB|H|8wPBDQLmq zhx|-mDMnK1*@~937_>yp;nU>x_w^b4O&ag^ILXxWWrdoL^WqK8Gfz zku`QErZO{8iRkb8<JwEi{F3;wmAjRCNN;!^9}^Or z12$K^0;(t%uieek!C#aSe#TZ)?)~N;T9Z{2452~$h*2tO9?FdO*D7@)3l3Ejvn_E`MyrXNob29*oi>|-E89dZKqQWoR zBJFdruM4ySKLW=-!c1D1TedTBhMXQ?u>0O``p!(ezDQ7^jhvIQ3jD%skUe$^RagFsiR5uqT4CiD3j+%T-AP@&~AG z;#$96NBjQReAHw68ZUR19 zA~oIJe}GNkX-Y~>=q+T`CLQcAx@EnM_Cd=SVh?ue;<9_uFMpCtq2gY(MH6g(7w%iE z#3aMov>UQ@c1!ddfRxaGEzds#Y+9u*rw$b18ltz$0O)Cmt z`ZjyS*LhCg@GW%SzmFi}jQRv5d&S&$_!?QqU$VqZ=!Xx|f4FG8p?Xu>o)Y#-0_Ge< zwr13BBG5Xp?_dw)>E7*=_%a+bd z%kB2n4-n{Au|?F=(10NU1BJ^jCy3F0_Ki=+p1$_MW2?U#VKQRHU-t2p$iW zsR%&8r9z1`UlR(Lo*H*E(%$~2MdV1!Y5Laph?B^;!2pgzu^b^RIbE{m5w^(dO~s;m z=3aA6^%x+HQx^qYd=M+nbR5SHKj`IROB)mAmV7nNGnKyPuh7U%Ki2d>DD5CtS)eYNO1Uzr zY*5FZ(|Z0PT(O0@n|JB9^~nW2vvm1JShH>Vnm0Ke?%%hbT&Ri)INC~_#8q&YkQJ`$=itPfnFW2R3cWnTb)_|nva02B85=& zGG3)WKvGAu=F!|-v~|tm+1@KkVlgwD?-41=knAxYO6ep%-bTgyk-^ns{h#5i)DRC| z+JgIwWLzsA*<*S9pOw!f82z(mAKY9=%%qL=`>o z6J&7X@^}#r+cCQrhuOG(xJ29>a&z~av9WTB=~=18{D|>UQ`f$G{E^Ruj3#7JhD+35 z;A4!TYuQZ)B$3jl039c; zyZ$)HO-7;A%JH*4Z{M#{cYbR zJWURGsi@W1`H7%;p?tl6ail_k%<^G}14-;zEOW=f0;~)m^1;tu4tV6GE=^!Y&!#*L zX}5<>58i=rD_z>TL5ieEbX6vJW*y8cU#{?RI~R*wRkQ_@cs1ru7d%okKkm`+8^i?i z-3-?tGpatPKhyB?<3E9Y*7N`I^q7TBV}9(Pq@$1oF~9xm>1AfRK-Ix=A5JTCs%tM@ z!$%>r2pe@p_%AFX`PY0DHf?pUJfT%7W*zmSB3$X}8sC3fpZTgS<5iAfJCgY+6U z^4~Fq++$Y?2uEYq!(&tf5W5>IK0o}QN}#PSA!o z1ItyJnr6Oobzn%}k&T{d^ zRv5C}rU?wlef#5obJ{K$IjD6u6hQSvvboIIT4{YsA>Z@b!hkxj%n}|CB21dpQXpm^ zYW2?>6FG2CDBNAtTk?NPAH@Ygq6t-N}UJBv7@pnEEJb|4&BDLT9yR5gC3@9Lcn}!NNZRnbxHzt~^MMGpdXP^jXsM6X)3}~=oot3vYrMc1 z5ieR4J;ITLzU=qa(%|*OolhMwoMwGy89)57CM*C^t5oS#j!$wlgboeodM8x93e1Zk zJN5b z+jIQncEuY9j2H`7ON3q#lyzZi5ev;Zn-SGrXEm4&6$A>7dD!G^9d#8H24aa$$NSk4 z8yUa{m9|+GeWE!>sv8cqJ##d{Rn+k|znZTQ8#k~T&~3)*3aW06yjVuO*b(La6H*QPh3){IHH%Xa>ZTUmJ} ztvxk16>|Bml?k#|Zc~YsJ(8B=1>qllhDrTVS?1&%+CUs7Y_WBnltWF)rnRbSX!+5} zErV}Y%GxJMwVUbP{JojswgJfTOgWmdq0A_s+jG)yW@&_h6OIfg9Px~DFehzW02&z) za424VC}KY}eQ%+NXMu0Q(NS|;NSDb0)fW^}x8<2&HOp;_UlCs74B5s^pn@@Q|F~hC zFQ`Tx&O#pWv{!whj&)*|YV5u`4g{h4z``Dh=> zYn)jNvYUlGhZpKd&t}kd*0~lMSd?n=nqyf3n6C}$%*F27z#-gO=BvDhO|e8VSHQ8J z&r->xvQFB!@ORs<@!R52+d!dT?6HV4)nQ(KFZv@~YjFU`2V$fX{&* ziUy=go}=ZG-V?SA5o%qb)tvbp>uDdTY8jF(W( z6-C%9Aox|Cp|4geIA)$Q%{K@|i)b4nX>|-EG_C7`=AJ@{D$R>fTTx;G+JM=39PoiayOo%TU~2eWOyAB6ZDKG6?E~%k085 zX9I&CW5Z#$l{6Hu+PHp((kH~DPS(ZCmY*#l3=5W$gSAYJX}vTxpVqCflpUs(Nr7o@ z;Ra-t_x|WdW0?;#eOy^kisMmIq1yA(y}bV!(kYh+q7S^GvzP|Pl_I)$5_p!hLM^Ek z!77SgNG~>%1=XVRzjl+ADKy)raKNr+f5u@QmgchZ+6N#-8~dy|;E<_`y8l5_EtyQg zS|L%I=SBb!f(AX0$2_a5I#2K@;mMja&kT6PoJl0=Y1{Y2KItRwypfCWgQ2)(t`n7W z;B%wIUP%9BEdO|s0m-)r$kc77t0EdWFgE3hov8j!zKf0TJ^2%g_yjkmH{k9j#1{Y!;j&6Y;5RqjTM8%7)4oGN0qX)h0@v?bY8(v8 z8gVS=YpBwogKZ_+3vq-1^YS)Ql$fBafuk^ffI~NChVAxW^jiRKZXRS`Lc&2`$&626 z$(VS&l=6*ll=8Q0;U_P$*N^YtGdt8^To*1tMagFXl=O>AgrY2wYLr5cN(~=Ua<8Of zlV~#vYU|TqKCg23E8ac3dy!XMS2~AoOEwGT`C?UK5cGWi)H|pD==&R}8$Lwt9c#Ln z;mq#EeBWW2b3D8ss}7gJ_ojEecT9<^y?(sp$%U#=P|jbDKD%S^J?g>u&-x(RLBTeL z`Shlhy9CgTbSDmk3Y>u{snhfh{!;YxPIgizj>iY@Cn-V6)+Rm(HQ-ONCEvYBj%4>@ zzNt$%#)uDl*dz4z+P(N5ak1@H&3N@g*qrt^igE`%ZC<^YYDne9)$|R1{}hpON$=fU zNcE8#K4;)$(ASC-t&9LqWBD>o_ix3h@wQ1Xi9~%!lnZ-Bs*?!Yf?ie_sn)JrMyjl6 zTe@wkIu+@~q}Wos?6MV4-yfUb$tjB>m*Lt{SxqI8vR^LIsAy|xEt}-`7~ebiCf}wj zfU_@J3`OjVyw(&0rLRIg99PD>M#3+XuwAg=&N&4)Rs^6Tr7RPq(51&$sUAUP-dM-V zc}Z6%Qi(G*($qKN8e>F*yL@Nz8NabplW#x`iVh~HT#zGOk*OFct&?D6F3lyR*g}ux z=fCE;kLVtaQ5vyyxKMW`eVtQ}@)uc0qzs@(qRlvBLn2eJ5w)i<91p>;mb228Q~ei= z`}^A>3tMNV@klP=&79xFU?c-eyO^N$>c)CSn@Dj$op&lpb2e5^jIsxNOcsseh(q`I z6zl&;#NBBYdQu=&PMLLh8-9i)dIsh15>(oFaB4w5KDqXf4f9FxN zu{KnsYJ~y2J4y;ZZRrd}bz)|m%@$BA-b}m7v5GhBwIYn1Y8~U{Z)`vh(oqLUY;B{= zwdzgzi}_{=l=YrGdmQa5M)2A<*NAi{D826C!f>j3aYx$+d33g~1CsuVuZSGj5-Dp) z%N=@)YqMknojIMED@QTVO~rXs)+F(ub=t!xI-998=DEodt&grCD_X^8^jQ}FFZ*M$ zfwqY)9qy^PbMRm z`I7-KBGsW##h|??7sgKV+~L8Afv!_^q%0dk7<7FyRDH=)X?6Ab^<}>j_C&e^MCcom zqW1bZ%V{v4hYMvLqTGo)j*2|(_IU-v?yi|{M(J@63PSz63^hs}i!uq_u{rzI2pDsg zcH1($d=jhfAxpee2j$i7Q=rPYrRJp8ifwt*>U$oKsLMr;^~Z z-5f3yWvr#R99ZGe3r}wq1YUZy7^$Iz@^GP}mUgWWrMXF-Yg-~tKL@rvF^-@@Efigf zmgBIcc!#oHG04D`EuajppkTvH(3a&Wa>j1D_g%b4|9{Eu#Sg!?b_~9c(tU@v{maqs zd*L@Ye)41Q`Ur95?@udreDJ=M{{87|6x%OHdD(uJF~P{Hj8 zS1dciBkDG}_~yCPQ;qLDDCWu{VU_mC6B-%YX0TB6I7VI#s$vCJT-2$g&dEC^(b6sm zrmqV(xt*nQ)sz0dW2ky7opV-C+MAT!j)!5oclwN$Sr_Ng2+wGIAC{}vV=vS4tlux= zyP{X1uSlP&aW01EJ^v}So{rrc1IHyRD~SWiPuH{dYcu^?k!-PDtFZ%-#jfrJiYRwk z%x06kW%eb82Ww@jW9<~RIvC0lEgNhkFT!~5q_K$jgGIcRQUPtA zWumaIIo@p7K83ul5k-4BdG+yu*sBh8fb)Jz6l7w<+oyl~9kDICtm(V&$zn8WxD$bw z0(wM-Hx<7aUH&L}n46Rq|JOx7IjSrPLd zFJxWdKf&S5k(5Y{IA%2yn=vD$w!S6hSMmIKjv#1crLC@EY1?} ztt!1{RSZ+t{DH_Ue^n_5wAcuuwFqmTF}!7Y_3+M8#x?V(XG}8jW`=R=rfeLuGTeA# zBS&V`XpA!aU|aI1Y6rPXspr(s$A9w+iWozD{fZIJPA!eKcE_1vY8w-aOBRYkvtx3G zz}d{<7{RrEb)QlXsH!Mi&ar~VzLahb8#Ve4k_a7e9h4Xb;)U^|HAg#LG=4@cYU4>QTG7TeAZH9nB2wyM)+Wi@y zg5b>mK*x|ub@&iYD2h#Yh2e)vrUQbn=W5bQV1#~d6?_|ICqvDih_@j!mEP&YA8Y<9 zT!ZMNv($yUs@hrVx@TAy%cK<{FdfvYhrjwE#E?O%btZy?PAIyXj2(@ZsFAQSs~<@f zj?Tszqs8VzsabLknF^z{G+%o?O@HK{QvNjY3z03GA{UIAWS6;69JvZQh}BHlJz~ur zMI%9;3stcV@#vqyG^T?jTaIuRdtnXe>K^PXKs)-bDm-WJP@pU<{>ovxr1wvZFSPUM zqf$Bk>pnS1&Xl2ZrmZV$BcTsHqg=DvEqjSjiHUGF^N?9j-e=_JDL8o3PeL#4)Hqez z*`K*~?ZjX@N4|Jh0fQ=kfhvCH$0I1`juP#gU-v6Qy+)TahX4gzP)#nFnKW05zK9NX zELj;>>@U_R^~F5{>#ci>YeqR&`yBtzbMOb2-z@wV$F-_o`&(D6i_0%EcQu;j&NUJu zGa*1T+8|Tr9%Qu9EYGT0wyX$+(aUmr%L>mcwyXNc3vUQ!8`N`7t4S6x)OlrkNUPJV z<@^13sPhWAaLf#yQ|Mf24RX9*BvKnGvYqwTY`796GKU2KCb} zzvnP7uZ3HiMSr2B);c|s_ch$O9Ji0Jj&8{n!e)Sv9A!_bH=eT`o-PK&?0yhPSxBH2({%Sd$8BO?+Kno z`P?PH-wFlmK^eB3W612$=S-iQDcFQhVauFT=V|(1K2vK4EL9+8SepE~SkCitxXe{6 z^W+P4pb)ZalvAw_v*_V6SFOAlrC45I%TRN;6ieIt5|nT+D5q&{%Xyj(muY>x1O=8K z^UF95-4R*eTHFS9={{V-GEM_lLwYwuca2Y~t2vBopub=U6@AUWkR`Z6|GH)1wd!JLY4+r>vc;k)B zV%&81b!+68p^%sZWy(<~GtOOI7uwjDqTId&r7&3t%9e`CKsl#(<%IoC7}MZc@fFKb z4a&=)T47#Fyo@JM*PZ7DepS1A`jmbZniXSJ&lG>i**6E_Irj&FvZ^^Rwv2v37MSKO z;_Cb?cQ4otx1g5DHTQT@ABHrnOHc{c`FyGrn8B`4dJ~MYp`T}zu2ezTx~Gbq{|dXT zKj-k#_ujMbaJiZ8Uk>lTIlOV1nE52co!ektcyzd&43+6kg^IGn3S-0l9r6FIP|6owghfAsJ^UFP2Cd3)uPRy1%A1>7X z$RFo)xZFE@gyN0&Q=O)p>F|Cs=k86?%BZjxjI`y}8D~ieDsMl%hw8oQ?4G83?|j!U zr;2E!d}~ndro*=)@#ZxO5t>o>Gs)Xfuw$UGqYPWNqP**kzqZ)&9Lmb0^Svj-mNS*< zT_2g^(PUf-`2yErsb83&&)(C9n+jDA< z)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z? zYLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n z+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!( zY~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&& z)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;= zkJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErs zb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W z<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>` z2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA< z)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z? zYLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n z+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!( zY~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&& z)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;= zkJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErs zb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W z<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>` z2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA< z)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z? zYLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n z+jDA<)Yb@W<=b;=kJQ!(Y~|Z?YLC>`2yErsb83&&)(C9n+jDA<)Yb@W<=b;=kJQ!( zY~|Z?YLC>`2yErsb83&&)(C9n+jHvEjMQoHA4g@HLd)qmN#>{i(*rptxl3dqEL>}( z>b>R+O_U)z3pC-Xc$R5t7r+d2E|@14Gt-&cc{+7_CPSM}{Nxyw(U!QXf5zua7>BN0 zy8}Voxg)*NxTq`XVQR^9uF5cXpcJ#z2uNO#=34o*Aj};@yoI0_(CJu|^I(`OSMJg% zsJXk^5>F#8b05Uzc;8o1KgFxtVGdgRXo~HkY0qG5+w7t<{Y_eUig(Bs>X2XSD2`P> zglG6FCM`tqTz7Y!E$JNnuU8u~{};0Lv!`c{bExN{c9F)neS6X3srHtFp{6@uQpoSm zIF46h6|XDOV0>}8s9*X&4e=J52hjG)I+4!OI9Hj**3~duZoLq4Wy$E@ zAT?N1z5rs?zv}&zz$@NDP@=}`xvmklm8wrs{ZqeEG^U?3@OEMbGM7Z>UzFtZVvw43 z7@-Ko=~$Af2Bi_A;l&v9w52=Qposs3Dj*u=@LProUI09R84`GOdUBl3@0p&6a-N=? zfjzT!pA#z18$))U{`_B)c|SgN1U{|%shbz#onJ^T;)^BHR|)VIvfry+Z(+zrJ)i%1 zFy2h3n>lrD=D?LlR%o7XXi(C2jvlEVQ%SBfXPGNX`IE^~Xtzk!98TMr-3RkEb!Y9U z)Z_|8xQ-|lz!TK!wuQ`%GjlrKs-L4lpd6=1=dg0kSK=%~cyfMH)u5dx<|Li)zg|26 z1@ojo9FIVPYnooCy#3?WI2I>qlvP~{*GD4P4r|@u0ugdsgw$&V7EsMHCUx8%ofmCJX%U3~9?<{lKVe0S z9$4WZUp&kii$pN>$7P?Mu0oJz^@t)TKQ~4-QVTOn*`v@3!H(lo&m3V*=0;Y+>FBC> zlN6PueR}9(=_H6w!W2=b!BGy^OX?8YO_fDqRt~6WLEITvi?b6p)A8J4>Xq2(UNRQ& z|DRgHaR?k0l#neQFMGO?1vVDRk_j+G!W790+4P8>&OZ@!h6K6cM-*qP|KNBU2;3{E zoZGxxSM7%c!ZsZVPPcCb=N~R>%ZUp2a+O#kQic|>KqfBKKhO4K1rjQPMo+D=+<5^Q zB^7fBwJZ@Z*?-2DO$Thb$%v5&Amub2orBAf48g-k{OCBnQFlW-)n-)&??NToQ4Nhr zSv@)!2F|faGDb4KnjmzXKmaEkuV_$L6h9KtF-CnweLBHalb$Z!D-`iXQ=2v9xyBGp zp^Q$OlH&eG(B-WspGeQN6J_zYEh5ItY|}DWmDN5K3L_h2$UG-PL8xS zS>x+y=xT@^=`=egn6}t4Kd(b_NNeO{ciC>p3Z$%FGzGIyYpsGSYYd7TjGpo%&lP*( zNv71!9f|+y3#Wtj%>&wWG$aNJT^*`0-a?Idqj0z=FA-9_-3Y;!EH!JW(Do3dkEYNn zVulEy(Mo;>AoEN38Wv19O=iL1DOK4|M;RABsCFr7!pbzep=O$a3Vrk=ah(3cci9{V zkR?9|tt4{ln|ob}Jj#fwbB5~ND+8)c%Qdo&l4{u%pJS{C&m3XERHtl4@sv*it9 zX>?XSZkvv|TWY`o1fw8nHc&V^$C?{k;7WgHYsi1yYu{n!D2!oQ$jFQtSiP$9XB^fm zg2}Z(mZ@%~2PO86#?W*4*hpzc*eh~?b0A5q%T^mC^rUp2x z*}rmurS!DtUMf7gS&ijvZ@StBi&Ev8pT2kwwLMFM+Q_k=`n=p>Kt@uTU{glE2WDi_ z+}ZuLh(#)5B|9{$0Se7w<3JyFRPR-U)+(xApwsjde*AjwVZrq=FriW%14K*tc~Uyb znzL>;^4WdsX$8&H)>j+rq3NbokehY|BT7;t3o{F=%gd=2)DN7G-o(@CW}uNYUFt+Wy|Fv zA*Bx7ult4q&8q*|)@Q3;aJMNU;PaX!)XK!Gx_zdFnS8S>2$Bb%qP1AE{*JT|YXO^8 zH6a2%O34!PV?!fs7@2wfl2FGyo|Om-T6IUuxFzkG*OHIsTU80O&h8y;A4Ixd={DvT zr4N#GFZyeSL}kaAWenk>6mZ*8A#Pn=aCh?se_%R11@xdy#JV5~TH&xWuu)6-&@3qH zS`UvDN7lVqLV3zlZJG~n@{}#N*pVCq?0w+P!`zuC@zuh^D7Td?Q`Sj1CkpQ$Vw8^r zPCp;p706|R$|JnXlN)udJTa>bi=}+Le}Y5RAkcN2Hxzo~dy2?#vV=L2iWKHPDnpQ@ z!!iHNe}i@Eeqs`nknuk!k^Qf6rQWXkiT&k+!O=OQ{xG_3^7dR~PI=d742dL>vc!wP z*AVEWhFJo!BGG;?j3P2JX>A zO*@_*-FSJQZXYWJ;UNU2%a*q&RmxRBVb=877KEoS{tKu$+_#I)a_wQBdXCfErq^z! zd&k#Nul@%gq&!@X$7;W+bx#>J%n=@=EGN>|kti-E(A#cayE(m+7tt~Ec=#Y8JzUTw z>ggMe?j0zxfIQJBQA`_9>_&H^9G^t@Y5J_#@}c8xwLjs^e9FzyW1T#m9tGw0_93=` z!lF?&-8;UfN7@3{>D3Ql)2kQK)Be-fnZ|c40=G^E`d#;?+arTd`Sbr*PB-i6M~aTP zX*5T*8IU9!F^JC?kRy|r8qBcYo^H*8IhBl$R@7{>BN4Jd0xx>QT+CMzI1w_wi9`m1(CFF8{MM(_v2G!z ziudvMQRdLU`XAGGzwl}RmvO{6t;3x3XJle+MGQd?e9SmD_bpb2ZmJt0Q>}c7(GDjE z>r<>9Y4iAT7L$o&NPlKeMS#2by7Iyb!y~LhLsMO^``kMr=lfRpg`#u zDOD+#h=G#)K)S}2N^l-Oij!@|mv$Lv>%!{lT_m0$COyom_JD%Y6@-nEQ3lH<>jWi?sg>WvrQu)-?TQbvzR zjM+It#bqYlm2pHM!wQ$PPcu7G13lf40~W)Cu2s{B*pE;~%O1fq+*Dj?hB1^lZ=^^T z8RMfF%6zFd^U6vrFj6rAZg09~&54vsaHtrlrCsY37x%n9{EGL%ax)#c^W>0!9K)u? zv^h30e=y@xssVR}u1dy@)v?)Tw2m8E;LC&v$GEvDABPQtYhJls1M#`r<&xu(^`BJe z*(MjVwUSdw<_T(sa@DE)cIa~uf5r#cwl*tmf(#1g#pXwUE4)Ukq~=(sdC#l+=-~Ct z#d;Ht(^FdmzR2p*NC((cbb~U}={{WS^T4>%bZ4zEfmS*4;aw_e!UwFjjYXGDTxg6Fsi3f;7|{!VI7o8>J@F*jLoYSbPD>lg~c+;nanBc4b8n@{%7dAdF6dybUw3G+<)JD z&u4DDD4#q*`^VpS@9EvgGbB;Aobem zbVvCbD0d&HeC?QWMgi?(U-eO?LHXeW<#o|Myr6(4iniQ+{KK&Yv@a6n>$K$xWwAw+ z*_JQSCQvBL7Cq9Y7uxd4Ym{NjPrDS#Py0}zym2}I{hvin5y%QNmC6JweN3Gkj^==nCEtH2E*knhwC4&^OQC=%A zL-N$TC?BiWt`$vE|19W}CcwyLZt^;nUYC3NzxrQeS57KN=Ypq79bF{JTNH-_CbD2_& zuk54{ny}lsdev*I!jwv^4P53aG)Ext=inMYg2v7N&&`v}80u0DBZQ2>OnKbe%VBr)`2SDMJ*alHFXt@GpSeR#K zOVBk#wZ?^EifTn;N={8Ikf?@|2tl%12NBT#qQpEkJWUtUdiH~y+)iAMJ0Rz2`nWPdnXcj}PxAx~nLsDg%Qk7IboN%Fvq!I>Y4P@4FfGVT(fcp9YB^rwHk z>r_GooYOliB&=m^ViZBF<5AIeR^8;iKHrkhEUq;j>jjZO+_l|gZ6acbCLzS}hb|*) z@GSMo$3;t;OrFX!k(H?MxEae`go?6WB-EgRfvv73e9nTTUh*8^n#mocO-@HYMxg^5 z7Z3>KhOx=VDCq3`01D9vC3K4R>f70%otm5AQFkne6(sj&2B#JWwP~5m*8Ge^jBrY* z4hj7}Q&KI}Zdb@lO^il_7cm(h>N3XlJVZ%JG9< zzG8SPQasA(@;ZcZs_tr4GAC*TG_ZCLd_m%tMD5{dd(j0~KffVcYV8cK2o8S!<8Kc7 z>;$3?@`^~97!i|f^lN0GWlTzI;UZo4$x$Ad`SeZybvAI!rk9H7vpSyQ7P9H0bc~a) z9SjE@k}8h~tn(;_rq^4cKH@p!s9q&nztf5XSIUBr+t$2nseaG#rJJzzr{n2pYUHX8 z8m-KWx?XT+r6Z%aBW+W~{4n8Ghsr+o@nPoLqZL!SYg|GFU&CfKA;#?bsBVr-C!l&= z20Mtg^2C@~Nygap&(X-XDqPQ%l@rdI@U&c1^eI4#9L;l+mZB8Q!e;1bm}}K23V}RM zU;4@TVYOygS?ASfga_xaUb{M&`r=Pu;7U)HS*Wt6xBW!Un90Krr}ZK`K-JcsbjqU*-r_vUsGoDrF*?9;B{ie#CE3tYCAF9Ikm)i(u7Te`8ge z%*Mu-5^cf2j9e<(z$}w;ZavBM;dt9u+;Nw*Deau|sJGHv`7_K}ln1`AT-5cw-0C!; zP(v?^UI%E71=rzkzOH08eD-?^e?0qDi*sz9O)Tru%xTu>eTk-&YePe=$YWevf@?iB zvuP~ch9I4@)~X0*P;{U{qHwlXKH`kiHh89!o%vl4HIbjN`=jt%Jas%Z^@u2Ys zfBj&XGieaG-A}cyys(%5(9{-Vo!GS$mksIg>N)G`YQ#Z|x!XNNJWc z^ub#Fy_7G$fiU}72`X5<_|%X(N_b{$YTXNF;x4Qsi^CH;==;L&N7G-!s@wG0oCX@j zNRQFh>RL{vc#~O27L+h&+$7Rf=Jf|Bo#YzVs0xRDv9$vmLY~e<@&HPrKM|AWp?uvb zz%hoec!~jPnbhf1D1pa*?Em`HDQ#! zn5WnEqt@f+f3xia&wjJIF;ixtSt61%UreWOlvJZU32n4g)5lmldyNvK#QKeig8c9C zE)|MbZP8F|WvezWlcs`uqC%bZ&b`A7%DHo<88X(Pid`$9bG{%H<2%3b{Z`B&r{6Fj z)jqAE2Tp!>jDFCbvABjhqF8|JTD+rED;qrZ=r%@EBNQJ|?W7ZHWwrV`a@T=qVv3xq zyG5Z$T>sEN{27Qc%&T!J9`@hd{_eb2v&{<5-Gx_70iY~%ut|Bl=ldoG&^KS~mCH~( zV#Vh1n45GSdNGF0Q0gO4Y@mBgBKr@idRNRxxJt4Q%s68j??Ywv{j4vC9l-J&s<^y)aQ_Gv7$-xUTcCFDwvriMgr+D~?=SuIX zKzfAd5#tjuMcpT%HGZ_H`|tRR-vy5EJ(W>w{L5X z<8UqS>SDj3AGcM+*>Q-rL`Hk;Rk5opzAHz!x9xh3iE$;*i}<2BCR{51rVqaO#LIoU zBxkvC@jt^tlTX%+Oi&z2+B9>>q$wT-z})eE-@TAX-LTUVBV>1fA8-HTeI<}w)1$9@ zgU*}#51zu-_p2`~z0vM%RMk%&#EjnShw6%KHW*-0qv#d-{G9J)rDHs!^rw;wil1J+ zm2^;J%Z$P`u-*j*1^@7~P-3Ic$S=1=ZT049d_sErnQ*^z`V;Ta(AvQPW}^PkRL9kO z4`0h?+zAOEK7No;9WHD`p*)>F;RL&rlh@}|(M~Gea)3uWdn;+bEhxv=-Fd?{lLr^L zUj2~f*v{_7rwI7EnEy;(%cJ`ag95h++$c|K|ITe}dG$l=eJNWQi0SF+^!Sg$`!-%ukeE)w=}oir4wO8znCVWT)9D8$6@TL=bn%8Qv6Ui- z=9OElOz5?|BM2i^jbr@A{saRp19Q`wyOb!g4lPCw=CoU?(i$!!MZZ2G#flgH-q9D| zg5s3th&14lVt-G?*(y|@~vN^LCc8rt~#*NbAWPNls0{51^tg2-NDr*xNd~x() zO6DW&%SKVy9uX8kEL~~^bCYmTDxQ@WppN}Jm66(@mh3-_bnVWK{h%Dj?os=|z!7tY z11bE^GG@d|x1%4v-o4d>G(FDM_{aYHirsNXMdZccXdhFX+sPp#SmrG`6&l*)9aWQ0QWcj8^S{rAH$;GYTUV1@rj!=H4{@RQ7qIZS0>)mpLP>_K2@lT36$1sYYW_ zYMspoe z!$xJSAxKt0QMxxTX2dhrKB#BbVM>z95rGe-p=*?p$$FB2R$KD)^X@cK^8+|NkTT~C=+%bg9x+;J zW6R+(adg0@=_!p@93w)1>qhRQQ`<}IfhN6T_q^2&1cc#Dbwiiej1|JI&~*wg$QCY7VI0j z|K#uCbj)uUQHeYQn35v6(Cau(_b=4SW!H$O()*@lq-0+PjD#p~jU9sl)u=)#{djvP z8*!$PzshHuxQ>sa<)AoRQtMNpi0;u(F=J6IvZJC$Phf}=35QF?37STfEhCq*(hh(B zgFgVrP45_d`R>J!KDT?3Ty@9bYrT8%yxw>CzW2W6j=_&z?-(5W4&ANz7W)pr{w2E? z|GZMGJ&<4WmMCKn}0eH%GSGxjLGUB>kc9T#>&otFysxrR2()w_^OB_I)=L{pKHv(x?1$PI`0V9SrlC z@#Lr6tKCU@4RHEAFJa6e7QpE4tfl;FOJ3lB955} zLv9GFaV|9vvj+q`g+N1GbVJd{xW({tYW+#N?R=;0o9UZa(Q`!7TJ9CfxzS-C>lDQD zIdt!G%!OI4RK1wL)@W=}Y_l}Z0D73CW**)po{(~tv@af`%zlz;m?B^N@-&3XCNngG zF(x*qDFSnWGl$v=Izm0BBH;x}3_rDSR&GIz0F#8?pZ(QzB?P=j=QoYg>gzk*`S!fh zWTg6zYmpF`1CA&eUV$ulS##s3uE_>D?J7WshCy@6LMrZMODin8UkO_;WF2dVHz3if zxHM0Q1TNNFwKa^O8f!R(+sVkGQ#E64)scQ>&-_r5WW2pTz48&79th??;UqlRldwTD z4e%}U;S+>|4+(RxC}L7tB`FDX$ODtk8f{tQQ1?XCA&tGUdqInMmJ+&) z95FI1Z}Tgo(o^qe+Y45S@RbdP>>K>pV^7vS2ahP&7W*`P*&nvcCh2ta#P8ac+E-zD zkaCcN4%?Se>q5%^#;B+KKN?HF~B`{EAR^ zs|G3AXh(<2`N9EqWL)a0rWq!BF-q4tl{*k%$UFX89t99D9|QQw!5M3`mdBdY&42Pg zr=KWrXAcV*baV5d)~fiyE6#4AUt9k_c{UzxKQJ+Ah-r-}{$ zQZ?f(CL>mUR!Z+2l6OG!Lw>-RSQV9#Z|He*Z5+?X);AxHlsQS0?@w# z6J@A7{+bU=(^LK-2F@W~PZ8}{?No^;oys$Sz2Kj;px&|xEfEOKIASdGJ9~RBQ{_P*90m`m`A7V0CbaC zlIG3t`mK8Ul#>o~q|mq+kPsJcb?5WuFdcHClv=wmtk{ZNf;1@C11nDs49K?yAy98< z621Pgpq8ArA+`%{J4(79oIx0UwZ3($I`O)UC;)G&o|S^XV}o6@UKKz--kYX>_lv2Q z{K%rdI2pW5#E#*RpH(2$(G@S%0Wtd{vZL;>lzPr%gokO0<4D#DBUFqU2nR8*_jN7< ztvio`k@Cb*KBiY;uULHr3|1l6B{BI~{H3SGy$egV5;z>5AX8w+cepF45{1&Kl`D){-mIoeLNVl={=jRKTH=zHgP|+J0x_nDs0%Z~ zgpw>PlrHPGDe(wqKl2*&!Kvf!f=bdA-blX$2D}cCoi0$VH*uIzMLte{lW*#Z1G7%pfMyAYCjd}zZ~6l^zS2`2EjOB!!!IS;5&}{N zRJ)UI$-NQ@%aCs2!YkoIhGE!UHCovs)M1;6*A-GMVr##w*L%8{Kk_Fgw946=dOk7^ z=4<#Z3p1D8#J@WzegfZReK_b(#II?QE@XVdat4)*wa zvyzc<;eaD+JXONz12UE{t>oqK$=5j|Bll?WF;Cd}O?bJ$#tgI}1QYiWfEY^jlBrxb zX&WYY1%ME2cTz(1bo2Iq>^tb?HGug*a9DS~k{Z5}H4nHm2aM+|{vCV<7u@>I@fEl= zG9prPr(w8#9}x*cVGV!|R9(sPl#>qZ;Tcx*eB0<#yq~MMuMt;SUwTGa_}dYbM$5Ya zqW~A#U@VQZD2W7xJnrE1ewN_SMOz@ic9p+l)*kL?B~-fL?U-#-f=wv3`)+!Bec$2hy-FWG zfRmsQA;;_+t=y49yse6?$w8p}jx&9PiQ{;B+s%18-8;UArs$vbeyFcra535Wrn`ae z7_?gQ!Htt_Tvpr-F}9Dl?*N6h`3Wti*zvPINXOyAt1b5>Oy3w3b}zE?4;$QZk&=X_ z_apy1P=`t)rePw4D@iVHmC~k_wsT6o&NYupC8lBZ_M0n{HP*G4V{Z`x5o3bc&x^!b z7#w_7&8U$KJa?cexDK~gHHU-SK8B2wa!Q+n?>Ris>m@ag!9p)mM6+<} zOl<5mjuLQMRQKl9&e+0q?{H;N%{~_V)>@;$ZYx~_M%&08GBpu|CY=mTFRf@B-%`mY zdcJ${D}JdFxnB{%<@o$cmTZ-4`1c};g$Lj;lYFA9=~!k;u@{Wm6tt_Fv7N3 z5W3kwrFWRQ6enUv17G|dQhJ-0M#nd@v?{mEj;vF18iCb~X0ZM0U=Qi$lyPSEaaA)y zl~d+%88>EM?bXI!1Ilefp?=1HCz7*IU2*;RkjOec z3;5PErcz|Q!U+e`W^Pc_rycdSgWbFFwZAoyyPJR}QVf5!44^W2OD0+|xr!3%*!NfA z#{ZhaR1zrz(%i|V@>ynedsI0xqhPpj=Dy-@@O#L_DLEy3A`&MfWu%-(n3Y)=J0V&U zD7gu5s508Dcv@S0NUP!unynF0oIowvP#;ay8bUwgSZrDMlPzh7x|~ce;#CBMdyN4Q zE$miL2fkM3h0mO7fZ9K6V-;^2tbajmzRIb;{IAQX#|~<8&7L$o}Dz?B|$MJAcS|j86 zU6UaYG0q%*vXycLA6uGCakzM$9o9`j8QkM?Q{3P0pG;Zi)$HSI^BfVRZw~hkM#@mx zo(>m^1Gnz>AC8IC!}&FF;*EXj_2~hom>lcMcuzJXs697y|rnQ-M?7&+o|L6Gsl@c0i&yy-YVzm~Pdqd3NV#Ls@}(#rX8+*} zzBTddzxa#%?!`}jRqZ?c{LUiXd>ZtBPvns14=0zo8F&z8mVDNyeH622$zt&Sl- z%D3YEzVGX)_kFME1sZ5+E#RFCD^XsWGfjyMYXi3fq51mASV_&zNv~v#PBGFa z20vq^NKY=l2sE*_{P{XWF;=1eeP#z)*bsvwFh3j?zJBs8QEsaccV%&n;%$?6OnDiX zbjiDwprjYj{@hMY))hDyP$M99I<+f4nH7IJtv=>Wjc5-|JHZ)%Nt-8RsIh1(3md7ixRSDW&c zKgh{A_0>6+wz(9jsk;ifhT>4;SFEsU@+HX<#hPr|=cq+2klJg79}|y4@0=3CGrBb! zeRo;2jUv*KaL$krt^~;*Wy1h>l7SKpJbdWC5FFf=0$%tu5k%O{Qy#%q6ble)5xCRA zyO!MQhjlvr?tg?zfOzyvv~1vzW2b;Le8y#mD~-&;ZfOQTa$C^P83k2qb_#6K3oVr` zGQ~gaQ_@2}d)UI@TilAo&_KHmyN06pN$|Q2kM{Uu#rNqvdblpNp^l^Vm{Tk?mlrd3 z$26rK*Dw4Z;Wit zna%kq4%S*7pw_p6`U(b8rUN)w+3U)@cRQ|=kG%2L1{^|(ZrQ3@X9F+I)hmbE^NfA# zCmY4tE)&PRT7XLw`IEKki7vDFJAW80j!Yfo`MB6#Qb-*SeLZD@LCLPpWQ4l0C?TN7 zGI(epsDy@oDtWN9(@P%yKuj%oPE790V^DG^S6-E81dXUhXH`r}2{eaPhelhPHAtcC>l1c+gl51?uTZ z1CtkUCP_gQg%|>asKu*-3UUdTp_OJBaS}j+sYn!g3y4};k^-d+QX~leF+_`01Pp2! z6)mtT!I1d*eAin0_dEAKJ?RM~mfHJy&TsF%-q+e|@88SW_jf`Vya$b(qI2NaF`U)S z+G`XcZ%D|THGp*JqByWcs4;a)PeZfhCPsTc#0&L(L%Fg(vEM=EesS{!ivc844vu#jiMEhkQMECM6Y7) zSe9x>(Qk_oA+3h#HyjG2P&H}w0c3^@Fl1OKp>3ljE^v(i&Y-%z3?Kt2?K? z^fMvh{|P^B(D!QW*68|HZ^M;p`pJ1}iRzFBc>V`i6xeGbg->u1*q~Qyoi5$-nP_x; zYC#CR4s&fZK~o%gVOBKqDi(hmC4r9^NIwfZq-QCGt#3Z@j3IjPB%Z#Zdhty3aH zkS`zr7KvnmI}P|m)J}WwyMw4+^${>B%Gy;tb<8*{A#j-E2#>{qt1aAyR9=MjI^F(Z zh+y(Dw!$ug90)&czdB8UFiBPp#eE3P7AFMZDMZ>~P=dnvqyqom|3b{Ihbn59FWUN; zo|YG|YdWK#Ypx}1#Ybr+2T)v1GeZh0rNCJ-8Ui^I`jV%(YbtH{YMD=?14tx|xKOxY zmGWlwMG8i*a-6Xv%OWCF#w4-BMx$7)NsLE_>%Zz=ms~6f&$##gX_r;u+WuJ(|e|D^J?W5a&oew zW`r7tPTv@>xada8$L(@uSEG}V%=z0^fu9S%4D#_q3cQ9TB&MA?RKd|yF`2WlOr zt=(ZNlZcP~nl5R>P;)g_pi37wF?owucq2z@|4+13mz?PwFVefyd_y_VP2Q5bTQ2s>Y^T6S|5l#5cm@98LT}j*$7P8r141BquyU)XmDsf^ zwZ~A#(xTOnD%)x2Voh&s#&(FU?`gm2I!_Ey^Xl$qP(uefY2^Mp3$_(#l-b)9e!bM2B&G59nADfRy) z9t&ZgceR|~Ja6G8_T{hJlk;^BfXrK6uEz7Tx_qn0@*jgW!guwSOZj2G+R~?PzxUG% zz4hkVcRXsd;*(+IolxJXWXn*JV_~X&*k`P2_`C!5Azo~%M6G=eJQL1%=?-#y5}5aI zhThR(b`zUV@%Q`gvJ)1sqJf$x3-NOLZ&D{DK1)fdr|df^Z^E^-fbVA}-pNGD#lFMX zaJlcWq|2q0eXR+5DfYAPkea-?N3Ch>3n?j68xP^z9>~NvQXU!zXsFjONAuWBsYt6y>8>|s@~{2H=*Z(Kjy8?d zr#N7dp1z6;A$}rN?a+sjc!!GF(%b>}Nh+Iu!ZLKq=R`+PEo>RnXMU|Yy?(!-ZKZKF zNR?eG)`B&cBq*Pdo^&8UiJYmaudqQVN;X$Mb+QH&RmC|gfN;kbE~J*UvIU;(di%&5 zk&+W%*-Af4dUgS+hoZuLR9`vvarYvdysGy9a{>qDwxC|*)s1V zWOrRrqnk$U_KFYqm`EiHz$!)QDZJKKe70yxhp*}euP&CqL~btQYXddlM8+L{3Ssq3 zMjD|A^|yj4Kg_r3Uf(8C5hL(ZT&Ftnu_BnZW~`FXf_6v9QL9+kf*T*wFYAoAREc`Q z?P8d4$1~Z%FRlmbZ$4ANwR5)gwdPar*8xxqJR%}}-h5hl%{gr%g?Yw68xfL)C=n9M zyBF2vs8zwCSU5Qa{?Xy^bN|=)TDyNkh)wJ~Q?))=YL8kvk&uI?1q4;0%BSkU1yu+q zQ)e$;rJxy`adsJT9A7GJ6(LyjtIe^&2<4=yD;X6UMx2GmQzz_`CD+*>4Sl%Tt38=) zjC>sK1r9lsbt*HzY^cZ}G~!IEi0#;sd-VUp|18W_M%xa;dMjCafyg<5>tP<`h%YJa z3&;*!tqHP6i`K-BVJmC0jw^9SmSl*|g3ToYSivhY@uX5T~#;*3O?LfhxQ5*J8iOEnqy%)VBKoJe%F zjFqKF`9(ig^<+&>fz7Nl8>I5f^`e#wmt35a6j|kT5-&-TURhR7)hICS5@$Fu0fh>a zDfzwPoOMdkGiqaDyCSc4*Q3*~;FnZfQUWOy+Di|Z>@@2>a<0z6C`EXd_q^i|G35H6u!t(?8y(>Wrc- zxMZLXkLYPUA7mz#YU&`zRp2Q|EX&nxK=DQDv+Zz3ue*T4p3_5*b9oO#X0*fsl zsq56o!e7u1=)Xq749po}HAb?K2>ElC6cH zq;T&N_DTzw@k}WleWb)ArG@U$QsPKyE#+Vz{WNx(xqI^4J z{OZqcDYbjiU$I`c?4-mG9xKb!eN(u2-Oq9z@m zDM1nt{B`Yj{+kc@|DOOn)5=fAkkcna`~#wW$FF7T-@=+xeJ{>TTb`DX4?ea4$H7a# zv~$Y!3{SLaTX*8RPTU%rnENE-RH{7c z#&_53vm2{MQRSH8Q>f#)9ojY86|^$75JtM5G1@O1rPszPbYyeQA#XhEbm8KWwzL?= zg(rzsmyM-m1`3-o3a3mAE>S~n&bX| zbhtab4{^uCDN;UQUSP}311axzw<@;07AdFa&RSiM^R8aKxxGG|PIz?9SDAP3)uWrw z@I|KDa=Y{BQp&Og(+^i4#1>c%M-NKj0n1-JMDDHedGQ4xv~Es^!&lyuyCN0qF6Dm6 zNGZ1?MdZ(Cr5vx2^3i*dO1z&bU{XB#OO$njTEAP`R+U9TY!|?9N#|N zi@@J}oe|%|=w!X7%9l}|tXTaBYF1xygJ*n5Bf0rh!(kO~hFNMM&M}Lx%X8Rk{~#1u znVZ}%S723$XQW!A?P-FrI<2szE3D0il7+Yx1Ev(?5(=QD=KC%Q>Jz3gAS3qKNhyss zOU%vTd-L~w+!2Ra<;}jH`Nnh2;=iksmPtbw`{eLb1-C6FqMo|s6hBmp5(;H`9=l(F zcv`3A;ZmUsP)3(ahMLF*kwcyAim;e+gt}--6Wvj1-4dxF;%LCqI-cYX+OI)y`p^C{ z0QE4qB;uK8R$`zRTySRJ68DmLmNT?qy;PBUlOp3=jN+%+z(Is85V>fLNSQkK81{rp zN@H&c$aGXR50B<!!U664bHLIRyPpZ2e(>me#@W+Bad=88sV!-ponf-E`VAqIwSAY*|>X@ywnX=zZ% z@^z;jh|Nra{fW_?lU({lEDw;ITZQ~>ke1+I+^AjUBA`(S$oieUv`f5k_c1i;ynLcO zNg{+q7^3>D4J9pUV#RY-nY3EQ=PUlBVu~^)w&$ph>%aqrho}uqIzDAzI=o|-I!&^M z_Sn8y;$G}u@m~DllV=HuEFn!p6|*Zx0?l*?ZpqrxMWGVLT8&PzL5B-F*SsfU0uzpgSG5 zjlO+sxPoi#Zi=2waUxkA!qMM#I7$M?L2f!$3oFQuBG97$^mi_l4Vax{T~wXU2&9e@ z1q8+eHS4rNrC$5&idKaa=b|ei%SnI(AH`KyieYX9)hI^Cif8D;+~HY)F9{gCye){V ztu3dw&J9fz6UkEC^eecV9_+9G;*!8u&rMvjO=tpIc?BgriBi*}Ct|@@@PtFAM!x`v znB=i!ekx6BrbtEUD(y8s1Y;@k-teLP;*XRd|y&iKH6PpXy5F zEu_FI-8%k={C(eT-muj<5#CC>)>FhLMv>HX4BcM+YFF?!e~Gz9ZrT&{WsdM2Ze_uE ziCm&GA&I{U<=`unTf}xA>hp|cfjzE>HK>fM$hRrk*A~JMbAA5uFO?cQqdUh7qs0bQ zc)J2d*VH8d8bjF`U9=$&0%Xs^k+s(3S^Gf5{bdjGw`UU(1t9FT;Bg#b>aKtn-cFWg ztYwIMToAa-i}B;ZatUq)BnpM(Isw3UxPt@TgIJ7X5ojs;vsFTy7~W2&*a);e#f>al zJE7Zo#T`u%VX}l#DIw*cbE7N`GSt-r7$2*x)J59`&=6%?f$}b?^oYihQ#$*{@n7CvUxZ*_P$edsSnK5Dd$2fAb@ylsqrFYtAs2$*o7K zEL7=ph)vYV0@o33@H2OG(IA5PWV-|O>n%FDlEJjOsmsbR(&)$|zNP zLCWxtbI*5+&@LF+b`E4i&{7LooL_~hW&!1y56xXjP?xp0ouKNm^ufBzP7qnfBf1vq zzEM>ajx_H?jrL8F%D!jEXZZHfNsjA3TvAqf|sB@aJyh?wyxIu7!Uz{pvjAi^It?Nu3SvlgCB+= z{pg;Xmg1fc-n&q@{;)H*b6e~PG9usN{jfyDEGT=aMMlqM!Ro1hSVLrR&rC$S0$y< zZ#@`pc2+}M-#_q_1qE2de^M*Eu9E~vP1+z2Lonz1+Fzy2Uf7(W+Odi2%*zJ?$9iJAU|3XROaj*5cZkZnOM5!9lW_`7Ges%ee#^UaXo z4zV=iHM=jc4nE_6-#mHaKp)9G;Yfy~-MuD?HFo}ek+NeA;U&bOCk%we#L@#sPDwIl z2rxja@H^*yo+ap8M$D%~FJjS)d3K`-eV4^Bcp9uD7T5KkkEMiWZAYcgp0s|$e)m_> zz02m7C-uHVcBj-n6E&t%216!F}jNB(uHWLM;S&S<(i8xrMy)D*sBn0Xl>uC2=le0F1+LLGPXQmplLWcJ1+I3vsjxz; zAxA7-`wU!iX)-fA#6uTbA}vzPJT=IzE|bVQLgS(Rga@izVS!^cpx2v&)}B1%lr0=1 zEpabf)$P~vM5@n>-~LmD)eG|Km)zMJR)Q13b{y?^6sw(XMsCKlcMzhs;@elAol`Ce zI!CG*8FDB3@U|k{k%AyTn*|i&*1J;OIjL?~`Cm~sFv1mEBT}LASQN$)YIK%1YFe|q z4tU}l96=oqcw=To&R}(6W75$_BZtG6{%k$G&7M5>@&hu$Ruz|ybF2tFd=O|BWH;;q zr?Ov>idgzW(@rCEmR5L*p}c9M%2(GZW#LOptHn}r#U#H{IRO*FSV?c?)aGK5gDV=l zy-uyj1Q~o;JCVwAA~HEe@UzSMi|UccA08@!oo{g zQj5VCtQy~H05a>q0oGUDi0>Rd^#MpW^e%eQCAf6Dk)gP>5$T;%oh6xzYHkziJu&p% z$OA0-JT?I}$;?=_Mz`94dw{-2zGvTiety7WcGrwW)5F*t{WjMo&)T5K-gd~cRin7p z2)VJUG8004l-CuT1_2VoFvmKeE_eB>1Z$U;E<~i_Cs%oq_(c9hs$48t@YVW6U7n*^ zaYm#{kta|Bq>8>JCVWLc>&3VHec>gicn;8O$j-_&%AtzaHR^h0(TQ%6W6m1?@AELfBw6Me(}a*p)B0~9(?B%5|3AB;Vbg5)9F-FvDRt4G+ioGxzZ@G zO0QnyK-X-+s9QD988k9L_g`Wp@j{)qg0^?D;OZPpdh`x=P$6iPj~s!W&E;@k^^CWV z&r(>BeRF(+nuscee&Rd+eCAh3C5BHer(6^^jNRKeC(SCo{)Lx>^#z(fUQ4(lX;0Qr zXIxav*F{n-OsdwK(UF?l|(R^B@-ef4{nb>Rs4 z@s1{`6uF${gYYD0gPRU(mAJEcq`-B3bp|+6*`Z9&Po5Ist#Ow%0pIP9c5m_dy+#fJ~S|JD85U9(pkDc|~W zcUSY<^dCRHTvA@9zWc~$!|i)nk^1uP?XLX`_q^S8Y_Z;K$-83T2zR8!qev-RYOi)n znH}F>^;Pfv5~Y0Wy*JIi|AXbx7f@>pyR84+&n2f4V3)4U^9mubN+m~!@Y`Q&lAS6A zpWYs5dlN+`IouRhSXe;PlCGbvqE++(H>(w&kvg?(Ltbrf_5toT-b}y znqr0~18UER#P@(`>yPrd{6t_KmBW8YLt#v5_=C#O6Q(0;fmrEM-EgLLeSqKo&EdcP zOGJq#((Auf_%$;;vL|Ljk{6*7T*Z+hEBGg`XOh&BLRU1FkOGFn@r-lf0Z{+x$?Gsc zRpS_(Kb>VEF~%AwY33OpCKa?UJ;Q2_CF*&>DO?CGXOiPPLD1l}$NmEnZgVxMYQhhReX*RD6Rg&pu zJ8x?U5nzb;pDSV=l?T@PPwiOep`B!yj(HlIa_kpnD}Yi*v5R8BiJTn^a+>I5w{MeZ z|CAqLzi`Lv*U^;}RjI-=bJBSUOY^HoWU@2J=lPc0B!!}fTE588HuUBqvMx)J>71!2 z!l1DUP*rGh@@4{4n=4oq8Pt+_a6GdT+U6J7SpQ?9X!JXfe)NZj8bnqtk(x72^|Yzs zV)ceER}|pKE-O^jotO+g9iIG_?{hWxm#*;P=J^+L?(#bkO>rM$ZcY_v0%HgNK`93y zQt8AV8n^ff(8nRUjbmbmAvuo~Q0t`+UJy|8Sy6<<^8>su*iH03stK=Iy-(njqK#yh z*^=8FW0Er`XEI~NvXVq+4U8)}+KTf!*azO>l*vt(X%cTX67 z3di8+D1m@87vlsSIosJbBSOMqD_1i%BpfwbH{&ACB82$WL(P&JhU2&Wnc$nIf(vDZ zWxPXQt=u&DAMkzG#Sm^&Wl3axk-Wl4>q(tCC;Db-mC#ip1IW0#=iG4TTB|flYpyVN zE`04ysga1{#R0P%RF9>n#U!4yi8-D-gbJGAN+ig8vXvB$6@D83-8TwTptXKk_pt=+ zx@l%kNMu~IMY~PCEqWzY2)39>SOvo+zfgmq`vw+4iDC8?gIA(0rd85oF0H`j_3u*1 z2+28OW>Va?KO+O0N6S+>6j3$VWLL>j^t;#S ztZW!O60)4zU$D|s(nf_ETx82maXx_69@~~`^m308NkW${3W-|oV0b<9%6VbAD9wnD zoGfK}(j>ba4ejCjY1MNK*Khh-ySK$9gpUT4DLW7Iy}7gwpi4&8G{z*(7cy!=RNhiH8 zxyBk;PTa{9Cr7D%_+PQHF_&@eqzi>a-n3bYj4*8SyETv&#Mo{mVV#5Dl}pqbBAsr6 z4LcWqa+&6^hJEpfwT|~jI#N-?w?Gs!{Nh!vLx!lSY43F zghB>&T4>fu!p_oXr%7`DQA;?6&92nLQ5hO>eJGraDVh629SErWci{^*P%@LT01?_^ zr)k3#fI76b7;O4!Rs0k(>9*8WfWe$Zdxe(jQ~x;C3?&igIyad#&SZ^OH`)*}^R&!x z-Pxj1X8G0HR>XV5?vo9*sMUd_$mk^i7A_-$kSLIPYU6K@r}Ptr>d4-zYk)g-$}MOT zow*hakK~}GC=H>F@U*n75FxL_X4*%1^XZhPcg>+$s=$@+<`Rfl*CtjsN#^1TPf6%C z2r8%hc$5f^VmJMCuB%^CQ^LmT#k9{&$cfjtO^;xAuoqdq(j>}(PvH^m(w8ba( z^nta>C-%CY;j1u|gK)IHOZPt4@23OOG;e;AQ(PTD4Zly$ut%N5i(gzv5Q}1N)G^ej z1l-Xv7Ph>*&$?9Ct%e=p(C1>~eaF*NE)SqR9Zpa991mai$B@e^o=apDsQ(SuVSj1M z8gT%9zJZNI+6@|5ldR8^XYf#0df+EsLKV++0RUdaJ^bXKLB(lDz_+<-pHV@IaquY$ zJV0x)Q$J&n8%AkRmC@#olJSgOA4PgLH`i_$9r!&f)zeucm3UTAl;Bu<^Pqd%5rq!D z=CDsJ5j)+SPN6tHedXrnmGAuXsJXj-9SLj4V1L`li}%ot4Q^GU_PogV<3z%ZCu{fO zY~dh!W?iIc&%Ixjmn$AUsCD0MZZILeX4*5QwC`I~&Mi{%?!`RzBxD3i8&HH| zTzQgTxaSL!r%p&Lc;PkRFMLo#)cQ4~rMA4-ze&%V8v#6FIV)QX1yX!REHA5I_hO_T z-}3?BNJ*+8g&tDa5q5+QAO;Yq>`;1o`m=usUGpW1ltjvbQf}2m4%>+wxa1bTX}^S4 zSQR{0+BK;uHRY62yX!IyAYeZy(j}`Tl2YlsA~w=8;ejEoltd(&z&b{W9m$1Wj45tS zQ74f~diavcn0RE-2wXf&jx7y6v^E=3hhJMm>>zq&9xbHSlXpvX_=evWorlA%J*_i7 zMv88HYPoddTkJJr?(&Md{z9uq|zJ6OP);7ms_c8grSm(~Np9ZL7wzGv3-0pyTm#p1>$~%Suu%V4_9Xx1eJPEpNe2n zN6>+l>T|x8Rt*5Ry*3gt8np*cX^fMR(Yn-qdtAB8JSp?*t*#m0i1C2-%Aw>G03awa zaC)Uhsv(k43^w+4CsJzICMAZhG>Y7Pf2*WlNwAR7yvza%$y*XE>AS zBux&Ha`hjmE7mgu#LZ4;*K_uWomMs=|LPp;Dgh3M+XICYa@kYdGwz}^R!{03ngyYM zb?zn=Bl)^LEwES5=dis10x7OH*V!LfeUFdN)ehtFaFP4Av_xe^D9P;*JC*uq>UWYBX}e08Q?wjA&1F}N8nWJ$Ct{XPb}wefU`xS@weOI(yT1Gh-Vxhxcm3GCeTUi-Df4#M2ex=0vfebi z-Vr-*n*CC_;QPPV-=@aVJvjwa6T%HkU?~{qv?v7fYJ8W)Xb8Rm5XOaJc@Viq~pa^77I5JPTQP7W)^S6ammRg z88TJjhf4sSDk;~jsnt#Zdc15P$MZZ0EF>t&wg_$*6eEKopncxw@%`+|e)RdextcAo zRknGt<&E@-G=lTy6%Ld~hj%=+cHv~Fr^>t3uQZoDK=_T!w!Z!#0ZW*RiI9HL@p7R= zXdQhiDA)%$2hl*O60st$^BnSn>neggq>)Hv9BY0{DIzL-lt>{i{6{tV`l>YWl96Ud zEs(+qVIVg`9zaCrK*|S>-~8(&ZTXC)zSi?jJ*ss_<*nNXZ1EA8vPvwih)|3HK}rO9 zlTp891u1ZcJ#%K6`b^CB=&irr))!KTwE=suRs!Rr) z1i)-Y+av?m;?SpP`_7YhD$?>!(&>c26YZ071s;FVFR-#?%&CRzr_Wh+j=l#fa%R#* z?OK!roN3z+dug{<^daWzK(UyNoQy)A5I0XfNiJn2nWIj_O9?&gq^BS?1|?=u#Z3DS zTn(NPvN#`1fiT-gXatlGB_@;@?K9zOOG124H;1456QK0Ro!mi%P+d;sv6GC6KCJ># za#Od8nr(KXC{L~1YJ$?KIkZYpkkO~)aTKi#g@G@NttqTOtVNpT|NJzVTnbQz`IegH zsCY}Ls5S->2<<~t2L*jruw-gIxy=K_%8HoN9=UWw`&2Qn-29lIrj%$cxh5p>_c^yI z>_W7-@trSAtO%|cIZaPU4RJx<$b=sI-7T3_RkEx0nU+Q2_TP{=Y$j?DV7^({T zne4a*R+ooohpAtxHMX_G^g*CEgKpev9^)6NieMDX;_s3pN|d$6~06%fbJ| zG4S1?`KU5DV32Mwb~M$iD-^*yL+SJljSPwFZ~l9E^5AKYS$`qLFC5MH#93*<#xxKF zW1}YV$4MVdX02`WC5nWetnka4P?mrtrhLo7qRHDZV2J=QE-{)~C8VMN2uqp-*>cla4d;{bgr>f(F2PYBZ-~DyU5R{-IO@Z@w+Z(c1)4AEQ7PAgkq*l8`5cx zf{be^fV!2^f|QO!a5((aUm#y|c1#?K5x;Q-QYe5mJ4(``HhU`BJRd28$G#(}=9=eu zAskejc67ix;6i0;mXWgVjFLV)bA7)05dz9uib>3Xl7l5MvO$)yMO_ADx|DSIy;#sv z`F|gKU2fPhuUyK?8!7fHmC7S4ge|oVuJJAyM{_%+>KK%%JroH~con8Me!yDow1N$3 zz*-0i!Bsw;nkfa5uS<`1%pVwaC;nhdOJb)DA=nJ3dvX%;|7Dk}tSz6;vxJn&ZtQ5z zn1DUdm%XXW$(4jkV@kE_RNc}Oir-C9RsMmJno<=W{mSq;5~GC#z>=t zZZMsHo0#w-yZz7V*8}SiF}Tn!@=$rGsNS=wWdQA*2qoRg`j(@&b8Z>Z61AoMWJ0sF z`CA0z6mlz(o15zR+y9+()M2zI;@wIYnSM<k@E98bVlzsMD=CrWP?&goB^OVp7^O9!+);?u*S0c5OUwgg4a@Pttz`gU%>4YY%f=3^-$p8)Y zKmzLcPOhMs4tiO%9mPB_!x*c*If0cjLHIUQd-Y2b^e=bxUCb0RvwjvX8?a+Q!3%u7I*89jlg9z^F(9`CP- zWS+zrHx_nW!I~GHsaON2Bf{&35b6*nG2qn_;?1Kkz7f1RzQh>#z09u|C5Fd8_ruX}mlx-=^(K~br%6o|vNBWW47%$N zJfp==u45oVdUHyo(0^;Y7cs1*)aEQ4!7m(Mw)m~t(#^NM;#YsO@j}W&TZ+qXJnnJF za%^ai)y;_Fk>B?@Qhwhj*Xif5AHDX!xgkmrdtP3Oz{4YUFS6I~RP4vA*T7z#5rkmh zRLBV2C1uQ76u7`3C8vr$8Pm%vet2slYMF>PFSvX0>df?vb01SUQrKHWg9(v209)=? z+<(KZBva>IJYC}rj<2|f0x9n~Q(v9kbz=X{b>S{fVq=CDaT+L=++iAE>63Ti%+0%R z-UnPupZfq7V~ddjfB>XGpB+lC$jHO=S{`l=AN7|GANxzuWNLzk3yBzXva60_jn&k= zQBZ3effx0Ms9+F+lv*ZaDk_8kP41MIPQi*4{EA;iYEy~@hZgZf8T_+@Qp?cI?}=jr zgre;)eow1dl1+J-*eMz=FEBHfz%5{l4NIK&-BW9J_C3V|Z)Z9J-|$b7Q=b+Nc1Om{ zXqVBYRjbG6P=TmO)>7F&^{jaY7O^EnT3h&(Q72yuPig~)y7qfweYDiWKJ!~n-shRr zC#QPU@DXW{CPKs_a9N2Ih?273$%mA-VWuoD!@w9PCT40bb{vmL>BS!|2lK>-a&lbs zPoD8&wctk@19@g%zL`s;nESgxERu&}K#(t$x za^lz`1r{IyNKW}|F%eOO`8@m7SfVSZDpJbPrivKnn+$$y0zQ$7A=|}OhM=&TqN`L- z6?SruTt??-@fX`P!dm%&b;*L7MP`NijclY~Wm&iMW~A`3r7%)R%gje-_ zzIs*Gvtv;4@s#6{KQ6b*a2pvdl$JOrhtfA2oHuT>3p;0*c#=a6onkZyZkOuByF6M5 z#8~2=bgnbzlz`KLS7-(E=(jlgYMsh=5nyW!h>X@@MOjH~$C<=raykB}sm&u-?X`K; z#7LJ2N_?lDNO^OrbrZ>A;|eE{jl$&6M2cZm49Wt@q?W%TwNonDT8ZRHcr=1W;u-(L zi&;`osIe@w=LG)x`cz+%g8JTHM*nftyAFoBX4&MF*{e0>$q#MGt5t&{+XG;H67$(4zvXWMWug%ynJlxZ*}}+o&E5 zudu5`yt64yrn~{NoQRvi)9DxfR%kwrKe1JAR?`w`L@?q}y?fC}d4jn*Lx}v=@H(9_ zvt6xxlqxU_E zgI;`(hg-ygcJb9ZDv$eo00FpmXp;FTXBB&VmX42nM>Fb1jK;8=Vd z-PuQ!!)1MlkE|FpSKMdQ0@T_DH6C%{J&DP%Dn;Xie{#SU9WsYbt?L!8(Vd0g^j8jt z+voYpL3oAQ2-#PuBT#Bg&cow#5X!~8@=-EM%uSuHCzhtl8yqplKBf0Sx)fLUuIlR6 zdAoHIq81J_qxKYTZHutS=QLs~0Hw?+u@@pwMv>}hNsO>asmN*Z^%YX|tV(&5dmyFB zb~>qz@>AJ6yxYC_d9S*!G4Eb{N+dq~{=79Y`wofB+A;V|@Vk34b>6-Ba^80cckdY7 zy*2THl&^a4m&olN$VlmZhhO+1`*$C)-t9YFzi|Kl&+!e8W6M|l#+Gu=me0n9d$!<_ zyBK{Br&zb=eMOi%~hhA;Gh-}G3 z_ish?RRoJFz@2FjIX(q*c>as?I}X~ZbYyB52Mb4xt8Z)I(Tqfn zkVv0GCh9zoWIaO$UhLS{FGyUp!(&)_tsUc(Aew_U+Qw+$v3Hn#U=eIRU|*NR#=$VQ z1ZWsHE^Y(@%b;O?2ohGpoFa!&^wOVVb;BcvQ=WDPcH($__|;$Jk#j(eyrf}3Z*hj( zgNZ>&B+;KUi2$xistSWN2~~-q2ZMHY_{qSW^(xkR!~zOdt*^w2WMYYgB)NT0Ly3`8 zUNp$hCsH-kPpRY)EKe|!1DhX2A{kU3%7izD zDv?!PWK_dH5^v4ThwA1miX4%!S&{c#DuoicX68Te4!fGyzn)oER5S`xPDzH$G-T#cYeP&GR|nR;f8?)CjH4NQT`VggqP)V3*xxoDw8nO zX@|4(PckW}J8p9dDPtoV!>i7c!sLO&FW4n1C$Ord;9FGD=ekU}UX+9GZ;}m+P9}$x z16__prN{x5u%2VBr6S5ajlweM_{Z3j$2ya@;l{$8Vj@Q^S_PQO8{T{;pstuYwd)7} zDFm<@((tK3W<;_vA%^Q+oh9Uu5I=cfmz$&t61V7ZZ}S`9aS{9RIa;(S6j2lM|1&wgeP@efZ8hrFVfdZuO|r z)m`OL(G(tFIUzE*iBxy0+F^U-B_D>OQM3@pcre)2`m0g!ij<^$=1z!a*dUpeN{}>O zJh=9Re$+rGJ4Hw)QhO&1l)5-0fR$fh6`MbW?~vuWDi%qZi&m7%32Ne}YzwGq7N9S> z?8sQ%p(g;5g@6=TZSjGkVS0&OUZjL3Vuq|BTHUwI4Uo9VM@n1^sKs;;TFtnCjhTaG zSEOZ>GRIKEf34f3nsU1H^S*YSZk!Re`hSPN|gu? zV-aUoi7nv(M_Y(Ev@9xCkD?qZNQb(lU3BHm9BSOEG|qp0gVoc}$)m;SiR*-BH$Ckk zK$)i2<1g>Kk+~%q^UI#7jy^3;FNi)|%+n%k+1-(D7z5g)Jws0+d`s7AY@lMRtGvWU z!F)Ii;!Uhss|-^{2_-N?7yQt9C#*@HP1T-5Eh4?=T9lB3jm$k$fdXl8T~dayhER>gUBaqcx5Fb+a<7ZF;w4c7tUQsG zf1dG0b+0+-Wq!HL%`M*I2b2?GUE^n)h~xO%KHd(Mzzg+J(XtzXedSgA7LsAwU`@2F zAE)a&EOZ>Ubck|dTmHoOHfz>0$VIAZQ}H>H9y@rHU9lVITtW2*qx>$=$SDwLN8 z_{zV(_4oAb8+?J4GqN|!q}h5y8O|M;CaA^^+-`wZ3sjjs;~zoy4xwlv<7BP_+K|BJ zw;tdfeGya7&s$urL%BhjuaCuG;0i};I}*Hy6D5zEKD zu&HzzK_f=6`s0V4+_%oCvn~f5L=A(FL8}xd1EaihW|2ENM~!tvHa6l0U%an>YI5qT z*t`nr>>|>wf(lyxLzCxlXyk0GH_YVgrZMq=j1 zmoiIa-NmY`o%3p)zsa1lkuQWK`f|8|LuvTub6)Hu_SOJciun(f+}W)NBKjb42Jsyf zIpzB%)tJ}QFG3vN^$q_x?^)prf#29x<;;@Us5|vlu=bl8%GW|Z zcw&n+8k#uRG}S<+?b#qe*y4jnzSmO<{%J)AK1OQdhEnr2JEWuAcM%-@TUvgs!muJKq4ceeHGMHS359G(Vgp}jvnj)89gM-J zeLe#3j1@63cb-u@R?mV@Fpz3_GpbJnXT^vVf~FKt&(W3bff(N3O!Rg^^H2P$_1OMM z$-YDTEhU>z#v`eQ`m&C;Pb}rp;q`BckL`3D(G#5=gM3qde5Ljw9Irkfs;hI-3iIAD zz3v#?>{4*1GQwD8E||5oFYb7FC+}WV3fm&!I$pg71yT^>Wy04u^mi^jURDB1R_E<{ z^nt{=Cr9=juAP*CuU>=j>YT^xxQH1@@p*(&_!a9Urjy5s$X+5s#&_^H@5*~1Z{DYs zbl-CZe|2Ufr+N=>xPt>*c()s#XiMrR{E{ag{Y(E4$uQTQP^ZX7$?iE=DpIwpNO+~e z>}Ywr$K6DIcv$UO7a-dViX4&J><~gV@A|*j5A(Xo>$Luc_-+2&-86G8Ob8ZRq5f z)&PH)Q}IP)b6wWutFvrRS2k6=Fk%&m)RBl+1R^bXEvKiJE)^jZG0RBBp&uN+FzXSUvcS?H>o%<7@xGwo67)MyaXkr?yqrm3K1{p3h!C zb%!aLB6E3WDwUKHue1?f(uBu$>*lI?QXEoczQ`6WS(<6$>zcbw>p4sEEa?;fL@GL1 z5tNm=3|4NW@`5ymPwF1G$~9z^rOZAWALp+@cn3$U7;R-P@|P>)by0M{SKNCoK~P0A z!WOo97}X_Zr>(s4SSP=+p=`F-#7mw&iH*+%?NeWPdnMYbz<&;qWK;b%Ksl2}EwKQ?PZYM(go|=$vWBGX=YJ0Ha>pMI+NPQod>0)ggucG(&v?6T1pYtPO}y%`WE*8zU;}=22D&*p)|ESRta|g}aL6Bogj2H)S7)mHhv;~C9Za64 zQwM68!AEPYtr&Q`#ujQaRW5#;`8BCS=Z&tm^g5n<6u7+;?ubQ}lSGfoG>%*kT%BVB zc;f3tq74oyNy@sPJ{736UeHVIEUTJjq{e7$e|$y)R+i%9UF7woo>XgWUH3u_ zO{9#`>n)Y-L`$i)coKG=m(*22)F9<4;-Xr>)fuO-X=e+O$-+R~o2;<8i%SG*59IP- zdE~H|&vyv!`vvQrlt(|~+dw^h_hRk!yL+>}es6up;Ah?21NrQCFP`4QyBF`&y8)%9JymR|Si zmygTSTBleMpv%LRVs5fJS-ZSMp6pP1_FxV2-Ciy>%6c*TZ`9_k4G_P%aY3)!9wZ|G z`(h1MZn^SDQ9QfR?mV?&oyxYmay!&zzDn$7Sr^o68f#c+b=hZJ6pckKD|=3}vG390 zcYKMqb#eXk-D9qS>Apvd>kgH)fCjT3CB zst}Fe{TsSnz(jm_qha6av|6A@#_1__u22>KcU0wVc`R1_=BmZ^ z5L{A)ccIb??eQDkz1Rg&m2!KT;L;t}>F=7ux=9FmIPOWgN1E=X&x^sv>PUFe38#nR z23H0((LLG+Ii;}Oim{PD2+vZKp#CS{HyYdjs1JJsB6S(Ha(%==y7zbOO9T(C)mQm^mJQLjr)=`3lbA3+o9Y4ETLU2Mnex``ycE;qVR;r`g@4bJ zv1$(oUg3XbFJPVd1VX;Y4IA|yEX!W-!(=Z;c#5sFWr=HHC1Y}GsD~xYdo?5N!WLw1 zIi-SdT(GsaEK)ne)$3=W(D*Y6RXY-P<|!cBI~F1l}s$gPhu5n)>b2u3VjWox|boqPM%i z1^)j*DIek&#NIA%B?1p}>a8&S|B~kINWIlze>+ltn~}O)pDz~Z2a@m6KEPi9_DlT* z;s?9)CeQlbg4*`)C-IibJm6Umd75`U-|0r^(=Nb@&kn}pE5SjnFR8j;Ty@+YS*fff z4dzn)JZ*!f$UukE-ax1wfO?7-;H9vp%R|O|*9qOu!3lbU)b-TZIM=^^bfGvHz`|767&J!|08?BErMn9JkgirfghX2 zi?O8^`Qh-L@1bQr`MI=iNbc7~wFKH-MkJ!5CK=j;mc70cS==Fw1P%|&60^Cs8M%a1 zBhFScG#lYwZY9+gnBel|-V!$>9RZ8-mwaR|#=Kbdj76`;V@%7Wv+t+d=CX@nJVX_nCLSjr5bG!pC`AWdj@ z1bRSZ-`2o=0WuqJlG_miH6s@C(k ziXbuN$cLo;MMjx@J~ zE>U69pLK={q>%9w+$vEyz3!wUr6NQe6S7uxFaVE--}90y6mk_^pHkwHi0K2(G95ee z%_qz?T8gYvOtxD!fTkI@I--pa<>Cr(k144kTHw`K$Wb;c%ZBJyf8Dv`^iQ!UK+-6T zmc|x$$p%zgG8ccaoDr)jSv)ze@!M!O69T);*In<@0rf9iY%cLj@{V_-yfk$Q2^bA7D2j56a^-3< z{CIX**CI9In-X-dJACmnzWI>F|C$78HU9iP1Q@YLt-8!mr8b;)j!<5%bdDB z9G;6_aTjD}u01H!W#W!l2&}y_f65U>)X02*?si&!j z)gFlTw2O`1h+ZwOqHu;I*N^NHZQ>pvh&x97LBP9-(%&UDEMrod$(iVZJMxxv$@iV^ zbu1Qg+owOo7e?Db%mr-mf^i3a>D_y#C|MYb=A^3j^t+aFD|*Z)xO)dt!$Zw9GhklG z*B?yuCRu2{`)@3Ps>Hf|&Ieb5R;G+xakL#Gal7!X5R|VGvLjdmilw_7{{sVBz*LKW z044h&*a<=@M2;5*f$97rTp=}V6ocC4D~NGtH>8oVOLk~W@y-2 zbxLAut4>HZ`J2u*LOh?{V%0)Jxv)FFg}4V>X2L|SPt0aSkN^8GhhZ$)D_M*RbP@&t z#SoXGW~u5DRRkWl`@{?GQD;5b(;}!QVC!5_^7aZx!2PjPi0r}RaukG}Svc?#*S#G@ z!@FXkss{{@eq9!|Te=gxd+zp)U(}8LYxN3q*|ijm&jv*UO5Sv0eBfay%At7l6AXW==i+ z!n^eNR_Zd8I)AUyQ3YO4vu6GjK1O(Uhm5-+;)=^tXvuic$ruEPangE; zS{$*ZLq6J&*%Bm zcKhH#F62Nm5ey<5+XWw|IJ;0kQdn_pEoV%x6M^d^*YIRrNE*pKjKT<-3O(u+gu_c^fRfyWw1@!Xj)xjL8f!{OG(ode_G!^+WcYmPI$ ze%tPNe8+W3sW*Zgu08yQG8`k9shlJVDC!&AX4Ul$7IhpHFtb@IgX_&coqjK5;=58sW@uF$He; zZw|ltXBw$1UlxQCXi`$g>|Ng`_>HP?mB`&jNcn7%D8-&a1s6>?IDNZGI@t=@xR3P};^w0svwr6RwD7irH=X7;6QDS6KyVKA=md^+8KqHsSv`Pl`P?ZnEHL5QI1y@h`W-kw2 z2&&cbZmx+ddO`C5c$TU5OYLS&z0#Rheyz@VQMM+r4ln;i0k>K|M%zf=0TzoUD;oBl zZB-9LQ8memRGBHw;7eHP)vJLQXZK1rfs4XI-D}38#+MDdNTpekA|UC_?5i$0g*>%Q zpqjh>auQs>@}{X=*Zdywxz93ip8O~^1L|me+L{U&<2a(1?=p4Z4XfJCK+CFu20jZv z_{infl2B;NxNFSf85S^Y1RFLiK(89WwB=YyIJ3 zt8)?GvVU=W_f2X02p(Qq%Yp01Z7C39)76M9nIcDD*?0$AKrqUL|y|7q2@#?jv)RtpKiuYE6XOQwvAsYE+>(luKv0OTaFkJIS z7L~?FLngX^l@4(Fhw(9L!538{kzQ2P8OQ1K?wWJNwUD;1PIk+dS^+e7q>L@{>eSWQ zs&$Vos|#+!#?$myevk62bHiP*N($HLz$o)2YA&lDeGgCaZmvJ_*{|leq#s*f{wm#z zPy3hPF5k%V3MY*9t8}0J>dU9gUxIrXDf3Hk+uLEj;!8Zu%)3mA!zhE%OC&oe7$-P|#J7!vw6DFKA*$=n|!lRP)0C0Q&fl$VW!W zQ_fzNq5`9?QS#O#9p!ODy+Sea0bJKF_6?-#-;i&y`fdi;@wQZz<`6|)=HDXONl&!skA~~2j$I^?YVG8laDK6B3*TMBCYf$fa1zIA8rk?xKgELuI zIxD3ZJ@6)=T4KD8D0BD^$3OZFBU8dikHNG?75$8>D`a!V>>L))&^OeWwdW%!n2wps zye(M9gj$NxO7pTb`z5e?nRqd+k}S}1JRRo-RLk)Q^NoJ|c6G(0BzS)b9! zSZK~~rrN^c#)OVG|6vVq$(`O@)D{}M#*l7Qcc>L#2;n@IoU&4|_1u%f8ag7ZJ47^^ zt}g6zG*NJM$Wh3GR%9I6S~{4nx2dBo00l(B&8vU1Aa!mWP$c z`6Q=6g;-D)sa9I&fw2HAvXqVwoGs9F^JR6`D^r5c-o_Xdhi(B5`OdN=-OqS*x1j_;3G{VsAqd7!EoOwyDq;Q=!4Bh55w^LS2!N_7*eg_%Dy<)(7b0G~_BTh&NQ^**qGK{ETId`4 zYKQCoVUKNAKqa^bVa^OA84-KMmlF4wZktgyCkdKCUqUgNLh$^TQ7qLhpfgFnU9*jV zrPi4cH@?5r`V~Ju>l6lfbFt!3;mFZLYq2Vfq`PtfY~qCmc^{+{V7>b7u`S6#>0lJ3 zXabA(d!A@&fYiJ5!ZKoDdcPA4*SeBes)wlo-Tkg_rBOjDhjtp%#2Y#I4C9)t9RSIi zYom$yHSoi_qYS}zP{3|}FF{g_!kv_2Bv~$|exRWuAWg0LH}#u}ZDi%zGWjyM6oF7u zUb0HNbPoUd&x!bnl^qbs?C}m3WfO|(?~Y^sGe&yP<*KFB9cMS60a`>m+~^ThGffKv z-CZHjpZS-$6L;gU;JQGM^cEMQ(z4SP6=lSBiTNx4?!)1Zq^fnJ`NOmgSIaO%W_Wi) zRwGby>u5}tUN7oOY)NIr#J8r3-RNr}qi-)$g^P#Lte%v7vMdjCOe|7#vmXf3Ts38= z%Fm(AM1>X~h*_WKTFq!fzSo|Izs#E+TE5J*91aJ@jG;x8 zC=XRdP*X|~x*3*<%B41`6lGc@b)L)*9wt$SIo2q0_TXn-$}62+yZB`>#VE_)FgNo8 zhz!8d$z9O#@IBvhxVk-DJyx(E7gFM$5AkR)vUo~Brygg9U1B~CQjKtOrJlQpVL;=F z+LW3-kU)}ATz#*+gEj(HtIZTS`CsD!1B$)M0~{MduVM-whRdH-^P8~xwRVHcZ?7L- zA{stOdXZmrQzlmwFCjzga33UJ>3MF$Q}Zr=$Vcr&Am0+Mm$V+@@B0tg=E=Y3uVMwN z6|7yEA;kksM63m^8K9BNQF1w?sJPOxArH_f6@#040t^;Ip*5CBGFlxU;nC5tC~Fey zvP{2}V*GH+=iZ1(D)1I?GRwE7^CzEf?(t>m1dT5_UnGD01-mCK@9@*~Pi2Jjdv%0` z12L06O)G>N;g`Huy7^n*hLXF-J{mb5@3fy9JKj<)6|tDneT!U6dbo|Z#hkH3$Yw(W zKCw-HiwG;5R$ht}enKo_^21mpMsZ7}ZnkW6J^iU`r`c}zVl2w;#hb(Xej&Cz_Qwtx z(>L6Ah|cU@JREz+;43BQ@Z9I4;OY#0zLvk!xI1O3p7HG+gYIrHZ5b>IyB7~H^Udw? z)Jxmd8R*q{w#3vg!AVysiY(+NpOw;!?D6=n=U?Uv5_VD|9`Mx}s;hI^!f)G|lGuXb zgbGw@HYFDI(LeEKQGUWs%Dt555OBESSLsYrrC`Gyfx33^`JM6vgI$c7g+J%J6#JO7 zpZlY6h5Jpy~*{BQW3Jzi&CqIu%!dr zT1nXg`OuYZy|1U!;pWBMjG!Q%WapQWnn$vFxYNz9AlH!mUX~H9-&!L>qk{lDxeuS= z9rBew9i2dc!-bedBx*v?C^PSerhMhNtcF|W^xHL&Ew$*1xC6DtqJ<0>Ru!Q}Qku)t zO{A6Xj0@PFHtY$jrC_%GhLwX*CSQJn6Ka0BXEHW>$1geb2AJ zipL+$WqOUH!!?nqx{_n%(5Rn!0$35rsS{M?rGYOm2X2PSxpJz-G=4>Dk|ty?4ndV)#NiCy8lH?uEVgIR}`deJAICD--H(y=L}hZkQg zI6t3h@!}+n*iK}MmnAwabE$0)f7{{(S0Xi+AGJ>XsZWzQzDP}jz>NH8p zNHI{+Q{3vP(zJrB87YHCM|_31LA~M+`{+u4221DnHYi)bNX^L(j!K6atrqDzWz&6~ z?NK=z`;k(6@>s@OMe`kQUb6KwKbJ$TQ>q)S*2{;2Pn?vy7e%|f<;2WgxKhRo_>?-( zn78#2vKQJ^TQyoPbE-|=#9Vj0I}&Buv44+matf|7qb#I{cITLI_NUh<*4ca9`>niH zi3cvb--N6yQ$^rfcCfWrs;e{5cntb*xXW=oY_h=6*I-j}zb6m$)j3j%{@7AFQZuV! zJ5nG=kfbGL@?`cvuJJEhpi7Bt4q0JX#X5fxRkjor=d{P?YI>#!LV7$^d3Cg-gSG;$N;a$mWbVgsRQ!sbf zO3I37*-{gVB#15C*ED-o{NQ1vaCMMIdGu5m{amv@KGWEy$zACCexaZ7>dmz8+zAYb@>-gLkrheliUvPe~ zd+}HP6NkfX0{Q>u1F2`R>gKA0sKcOkR12FM7r1vc3s;T*{ukc^{2+Jt4_7~FRd3?P zEU=gI-h}v)=#%LE>A%XH{mQHhcdmmzH>#SM%xveF6+G6kpMwWNnS;LGY zY--F; z5eutc_i42ZW7N17zNBSN2KWcrmjHd=67Ghf9rsQKX!R1U_?Zcm(b){v%Im{z!YD&x zUMfQ=zGj|dkzO5UFv}9n(xPQ7L>Z?LZ+vN$(@i=YbIU1XF}MGbP^-wW=&|kL9ZS29 zY2xgC-!J3f*)%eRKPZK~u)JvGm+gKjEgWrBXCt}Lv$%)K5wR@9#`v%`Ob;=Eya_ta z4+|rD*&oEJMJws}tA13&TJFTuJOX44wY#w1H&rt^70yU$8C3<6`Pu%4xg>0ayI5e% zu6MXq#i(qCbT<*x;zw8|u#4dXz3{z753Yz?>SEoX8}HUC>VNiQ8&?~=J@{xzdy4Wx zNOW%o6w&qV=Jn3|jjXwLxRNB`0$B3;IQ+B11^f*11}%(@8T(D3(njKC(^ZLoRmsrd z@~rq{{s#fO5)ovCSyM__!U66?rxSERFAP)Ej<#@@6zOdTs2jg!YDp>ZMR<0WK4_M? zJLL~37GOtYGv6H(mV9f!;xp8J2Kb})ZwuH4kKq6V*<1la$2qu_C~cC=xy`enLTM{2 zUGKrBe~C6}xG_V}JmC_y;G|CyY6v==D*TTQ$A95f zF>NATSyFfBrX?suJ6D2u_9)i5D^^IgMQ+EgR>~5;q3*SeQK*2gb}^TLjedisCD)8; z&5#IMDnaks)6AIAAy6Hwru(t|esplCywExxj*PL`{syCCe>l4)gu^ZxsL$B?30VOy zv?(vF9+FQqMnVw4S3!2hC|(V8nKb9mdsaS>GK`cnp*buJnY_MY4FEu4H)FpS% znD&msKFLigM$jfV;G*6G3oJPGrHf+5_8Dgh&7q+McLi!UWC= z7sk!y#Vg#4r|oq?LVObO=oj7DoHA=D$e7g{D~=*t8`XvI;vZ^yG`r^mN9^0-Hf6My zm^6l}>xCSase_2ufk6Ij(*4NZqj|sppwg#=m#Tu~Hu;tBIUH_v3uiLO83%~-Wo*s* zILrFObyRy@o|iHwVMn5_?(G2b8L>QBx%h@FRIrFbiNRp2f&d{jgt)>KSNH@`qNwkr zN`jz*LZ3#u>Of9k8wjs!KU>40!SFVw%?;f}m4gPR^^o_Z3(n>>= zFd00 z6*#vD@aq--BSSg)SL3+hR9rMhOs--89&if?xImKRk8U~9878A+fO5^wUzWO^=x}`L zXG48gqAULBtTFli>rymVNpF?wK3z$f?=24mpDRi6ygLUV2j!arPAZa! zeLJM@@%es(W4?ywKqz$TxibTCb;hU+@*9AZ0y5kYr8H=j!ovbueAUid6Fo>y|GBco zeLPaK2QpGrD|J}xV3bQud32opm?z0MDYgyN*Z5qW-HS;rucVxX?#MIE4oB80bx|VN z2EJP5vf4V65%eTaT>QV=yV6)$(z0BqbG)7b#LHFVB}Qp5!XyN|8rdXD&Ak&H_F)?l zB90OWgA;|g1VK!JhecUh4X9U~MQU=wpWdeosb^|w-kea;(To~T3O z)(Wq4K?^a$u&1cP-qu12%bq%q6*ATJ@@ad6q&+M3lW>v-D`%Eqq-Hr1Vy{ywGE}M> zHM+H0X%rSg1GsC=+C!eaH(H1(!8oaHk%AyFh$^#L7(d$PY%>WEnu`RJ3N%UxM1;^w z)Z2ARag(_OvM1ccqouRyx*krdGwGhrQd_uAL7vP@JvT*4I-ZW!Ob(F8M07ugZ5DA3 z{Vah36n+$F6SENyuTe(F8(~~7Mt1gPGAO+D#3tDZq=3bGOMA-oPsaUJW0iX@m=~lY z?>RykuO!qL^DEvicO{YGT#}ql;3`kS$3zY?Z!*|;c5ZH?c_q%lHXbFl^;|qc;sesJ zQ_@o~@t(r6P`MZQwUpEG#iW`{hyr#@6hQ_^StTAx%X zCl+6v&eW2DXh9tcXGM8jQ-dbLeyCe+ROkIqxijgkSkW-@(iekp1pW->kcnnr7H$G_OClUnKh6X>(=VU z>#@pg+QuND-?7~cm`dOF>Z$dX?=~9Nras})mI^n9{!hPPX+{kO6pw2Q{^@%pM1mB4 z^_EGY=>m{4zA2;E96}`53v)~$OGp^$<^eJ8lh#WO_Zp5?ZsP}sk!7=hXL3jZVj9KE~>G9h;xOh8_8pi;%hR0s=rB>gM^Xon(+_VxQVVvZU&>9Oi zp(L@7#sP#VLIUDuDkdUM+$CuSS&Ohx81$_)W~ylAY0{ClVd_AHkb;u6`i;DwYFxFi zl>K2Np1Hdw4Vh&h;1b>&z~03{RC=>ysx^}Am4qzWETT#R!&mqYYltYtuUG~P5>^=l z2^o}>cr*w@|L6p?)pw{4@K;{p1i`!CEbiSqySGXFkQY<4LKx0Ms)BM6m_ZV@HMQuv zrHNY)8T|-leg)}ti4IJVT#z_{KtdWXDC5?|w?|#Al$Hjgo*F%z`^AYU$(>rZ!D&r| zPS7)rR;YW<2QykyV1KYAj!ufXn~4(`jj@M;nqT}FcHE>`cT`c%1tTSLB`+X|ph2L@ zp`%A`PYJe8p{fS?jx^^zI?PP04!Bd5|AGbKgYKg< zq;B%^MKSAjg_z-VB#+-5ijE7j8`#w#4dZm|Yzbb?90_KeH)q2O;A505dBUceyoQ|N3%;sLVNjOjI^QU&=Ry_wFRY5 z!h>uBmFT4@5HS^Tf{b^@MrSP%paF?CSM)R^{Qdd&_cX)Q4`)(>i5bMf+9U{4R~Nd! z>AFnZ)W&cl;jWo}L;$f5-9TUyCucAc4B4Qz*DTk%JeULme@j2w+-wN>f|r!zYz%Bi ziRTUJptR}&p9ED|s&TqF`|N)|G~x>zH%nr5l4{5O7>`)HP;gN%O(s@UPId57t{s*Q z#ae^gq<#)Vo~IyOei#@*`p_Wb;J?)60Vr8<8w%vQ2nOfvkgCiT(WI4=MpDD~wR%ch zDV%>+I)lW}F=Q@NW8J6S+3g#nEJNipdeNv!PAh#+DPC{%R?D$VN7DYwJ2J+V*l_|k z$7k&a)fHGj#ltVv1sj9MuU70y(#{vw3}6w=Q9r7Jm)^r`+z_2JuD&4*Bl)id>Ig0( z+nJh!k@S=EqC=IOgVFl-dl4tyd^FaAQkrPOeY>b*pxh~NS!Ri}Alv71VKBrZ32zSc zhBSin{$@DDX2n23Yjj8OP?+7VR@KKJN;5%%yI=rRzaziIGeSeu*oZvMDv{+Y39H2hc5R~A{j7t^j)A%!snLYWOd5e82%XfFk>DrnMcTQ&jIcp_l;Wf;w2}kG3tdu>P$@30^BeyIZ`EXkd3sFAilMu_< z7H&KF=`GGK-gu(B00MhRu;8H)9&HC10`TbI#{wuJJZ=y0Be$$DR9j}$voX%N%`MGA zBl9IsfBB6TQa?Y~q>L>mS0|p8)XCY&*F;|LxfB8KHGncaZ17psLh`@$0W42{bRD3q zSLA!>3KMkH^OwsDmDW{2vjkN>2|Tnq$s z4a%S|-)@qYVBcURl;m=kvk!!h+w2r(UwB)JIE)pMYR3%We?`T1ZDTgH$PX4hPcbFUCcBGcRjW-cC030C`-|wOh_B zCguYag>wAVh>cauZT1!g4Z){`RUi5QQm~5jYy(o3RXCp<3#;8$s~XnP--}Vi6kng! zN3&UdD62vwDOu(GJJ=`598Pp9DUlHrr zDFQeI24!A7aFr-_ow800HX&-9FQtNAD(s+JVIUwIA%H zP%6(tjsR{wRC+_wQ;w8(1KdRfOT&g>(9cGR?^-iqT0;6cYvo!l9mOvvD&jb+qjrZ@ zi0hPgo7`^#A>)V@Yj7)0?@{tp+=A9s_3z)-GQ{(W#RE;K>kb1@)tOq5a!?M%&UXG5 zvdxMo3I?sb#0RdL)sY63MJbZ@=Ac7Y6rW6@pfa)d(+RC&-6QkqJp`hdP^@RQ;~_R# zF1$76rbs>Dedv$~1r*tX{mD_#-{l-%Rtc*()Vf)LM;ZwuQK<0vKSD5ND`_smDPPTM&r~XtTv$QxVI=Kuqeh^QNpEB zaF}N`r9Nr+`+~wKR?e>2!Z3<939NkeVwhBOQ7^;WFLqyh6TscS^QEi$zBzj1S1;OA z6EA&$>kV&~@4)W({^?g=y6VCOtOk8`_j4dWE8g+DurJoF)r+@&w*k1dL)v!zMZii7 zd#roJX}sq@G3DYpn@Km23Fph2a$5}5!|{w*zd-AxxF*SYj9f^X5F~yAo4HVd&8<6% z=n$#Tz`obVt}?D)RHNA8k7l*keZr_fA4$k;z{`)yJ-@Si2S4(+&ZMK5`IVarUY!Mr z0+r~b*KhKEy%cDp!O~QoYj97+LItJy3OoM<#lW|>)Ws)XDGp)Cj<^iHssQ?aHQ}TXENGgMY3e5zJ zYzNgt9_Vx!nDU(IvDl&`tcd*dYx)dUk@q8_ z(OhruD|AiaCS5wnUWRw_nrdw~20gQ!fHJ-uJw^`roI_A+HkGcO=KJtN6B?8 zA*IzYlPsw6t@!TR?cW@iu1XxcTsP#ATRL~~4@fo6?%J3(4QOG5ED)ore$UrOnQehl zs2fC}HE(Cz8tAEXgP}4X_RU<2JBD1a4V)LsQ_5%;wbRcv3Ztv#y*o1?SYrTt0awIs`{wUi_KgZfpVsArDC||we>M%8@b|9vr#i`p zw(*nOSq-u5Fxp4IheBc}0WMP%`HCX+p|k@T9;C!d7R`)gaE* zZXw0=R`l54g>i%5E#3fu6x%nVH0WXDkl3gLRbz_3g&7UEjR&v{iX zF7?6TQSyszH`O9sfDD+3PoyMwgVY9xb7WcPJ~7g8gU!4<$}yxY(8w-`5~6@J(PZR< zp9MC&x{E3e8SfE9HLGRtU3f(m!L~%X;OVo_S)IM~4OH~@=fHehYMdk2u+8c~k{GB_ z?#TUITlv_30?&1c_nk8L_VpCcA0p-FkuD*Q&0-bFuvcAhmgB4rUf_oC{_1-XaI04@ z7V8c#VIAhc>cx@YV%@QN@x^!B`nxIiLjPtB1cONRTMuY{9N!7K{C31im!EJ0JZ{0+ zS2ZV^NdEe#3RnR~zMt0k`%KK9x+6ZEQgw16(F#sfs00xOv zv22cydDF5UxxF<=7knYDyoquykG2{o6KThU0A0A4T?A~Yo_gG$hv1QDBH2L&1Uhsr z(Ne}O?=b?O!N=&6oR3kMQ*EbQpK!N*G+`E#>u-p~a~{>A3ym(>f_cvW*8+oQ^GkE-s&zOgO_z{G)TZE1fOSlK^eIc;JH%&A^_8MhkytpAX{Rdb(xMw zrkwG|K3qz6hF=|&P6&SXDM8!>YU>DqqPWTV+*(h0(GS{xxfqIoR335+<5PnwrNQ=UJxoJ;Y>=a&^2C<^FF$~bNs?xJH^{B z9JpR*04`DIOLkzb3(B?A3Mc@hVy|dWEdLZK+K3;u;-Y5#`yW2yd!GG~PgtYXO7%jr zt3Xg-n{dLbN>CMDYUS3g@wO+oL|Z4Yg=T=oa4ARYpO#hyZoQ!HTXn5V_;XgAEu?%f z9Y39()rciKjGMH2G08kg{=H^?&;Gadw+*g^Kf<4HTDa?tycy;1>~GRN-rPV3oBs zN7^+~w#ywUfsts(<#yadsPSmG@LgRv}T=T8W)xVwD7W@aiU>Qa&HO+ib(7 zJv9eRBz?!nfwCU->(!zXU&bd2ZuypVYtoMMv(xc&E(_ zyhjk#td_xd^0Mm8<%=R6mFD8l=k!W+)O}oOCW+7L?CeXU6u3N`&mG=!q@-l6(Xg>6 zapg$qA>G5>l*=KR)!0RT0I3h&3d^lW3Z~hW zq+7ju(Vx92WAC#UUu@4_ELJbR>Q(o+9=P7&L&X}%j@64#{fxtTI>&h8Evo;;e>Y&! z4Cr=Dl_NIqsP6v%&>`#+<=3(;*uN~E&WZ|uFolRn#nc+(?4wc;n1Km z;8b3xQUFHN6H;TLnvj~18jHYKyQ!yEL#i3;b_(sLyM(a^eLDLlq{bpJ*3Nn={LLtT zFWMiC@^NeY%ym{HsO&H%D+Yy=06QJ!8@EfL*fmZ}V*t{ zLTW4mW9_D%S_-M~>MmaC8_V$?K~%F^2HzbzA>)om`L1)Qa>r}TKG9qG*iT4h=f%KT zLZr5P^p5oqp|B4j*8i3Yf?pq5WF#YT1j(6OiDNsJ|T}d$w|8hsGj|?bxj>Y zGN2;UXlxslS!sXSS}Mu*wJ%JVHIvPn<6U079`L2LmeYNfm5`PET2nZ#VAuTI+9Ic? z9}t_ZEpYl@71tPa$xvT>DnFHJnkC&%q4~XDGktzF;;`d>{i--FQ1n%Z6gp^`JKEGv3JX)3#n%PCGNnL?92a5 zSOPYIpmeEi2e8QMP4A;c@vwDNxQ-ZEnw!Y*weJLaYWuKk_0)sn^U?i4HcpHk0}O%a z@Ep>0m~Wa0j~KedoSIOFyt83%Z;V~NcmVGZKuT;!Ik?hlpVc5_hjE+MK>jg5acGkB zFC$sY;*Cfx+Q!%{ig9`MH+_iEG|h2mvHeIr{>BxWXa!ie94TDiA94%JZdRy0x@u(0%Pr_o*ISJUR9lW#VE_ksG53eY!ggKO-PMJ zV65HLQxj5S5g2PX_0)vaSOog)a0``fuYOO)PE0^xLMlM96tP!br#%(kij%kU?ESp4 zs~0(?1lZ{)@!5+@L3|30r`Nu*2VIeB#+sj2J6zts_>t3E{IYdVXWv-FCZv`^D!jUj zSNfUXw0bcBIjzYHP>|?flUEU`_`hbvYw9TnFFn`x-f-pg?8R~49L;AhHjd#m_9L+O zd3myg7^G)izsIT7y!`i2dUI;aA1XY1+hwo%`0orEpJrVK6o4q-$vcTtu-VE3@W|`d zmi7S1sVdNcSX&0i7HE#hueg_oZzrDKPKZ@vGEZoauy9<(XJODDgSF4~sxK5XB{P&r zC93K|;a0M#Ep*Bj!qR7*{Q9T*o?l&OepPW99Qy>gvaW(J*tKRnI@l-I_LNGQvOsEd z8e3p^pP$)+BsU_(V|{9|qoOPlVhl)~J@-3%L73@_X)%&UNrd?V}_v%H329I%JQHN)-k&pnbDAc7WW9LmSe0rQi~%kW4k!o$y(g@CeGI^ zJ!z~tQj3EuW4k!o30mO2glGQfvh(HD=3E(TSX)|eHvfWmEt_9nea@A!hP9>jX7kG< zwb}I?Uv~0$UwCzwd0ICLarRjqX;5;b5F|SuWm>%$jx>pZV?V849EsGldU2_5j&3qo zM=;?f%`R!_l`U~E#U-DZZa%s9#Csk~l1&GN?-8NW67*RRQ~SJ_L)cTP%+0>3ht`^iL0zv-{x)C zx-Gi=lKrEn@JIPw>CppL^%`QVJ;WN2dfF#Ft9&`#LGc#2UyRX)7x?x#c=@3n1XVTn zIF)2oJ9{?y(jIv9Z~ABByx*WtbD%Sty8o}wKL25Pi1!oE>M;x!Q9mcyb#w+1fKSka zkRS}CTkXWsV@HBZ$H*K)mYFm#Px(wBOCsEfiz3Fk0RHUReW{<)sL=?DWvxtgHmRtz zG?R3gr_4Bdo*unqwsGEOmHMS=M)|=rL%_W#4YD>-8iAj~ioZwO%D|(e4LGJXkB--4 z_~~y%MLOlY>nsbVr83E=R0W?(uJws!qG^$JOVSoty7RVXu_P_E%Q{WdY~R*;3c#Wu zU4buy>?G3^-0pgx?kZDIyDd(cw0_}Vwkp=6wc${mWJ~citFB)67cHc^1bg!?smof| zrrI^_aZN`6NTpxLh3|gJ`1ZWxBm)QFIZu<1yp_TMaUsB-+d(bE;Lc3!_%&5^4b11^{tg00fxx$zZe4x-?qPDM4`vtZ zYCf;3^ZHihK{cCIdwY~5+umNn7+IKLzIiapPfdthf?%qIo)MT@Hr%^XU`~jeym407 zYBR7m6LV@BxemlA5KyA&3WodNY0-Qh&V07|n0(io9Vl8DDMKPQ=k^d`Hys7F(suB= z1ZuGQKBhL;AW^VH(3VpvaIjF(*;)e6TH$9<%u{LGgePBn%bciA1f8(brf<{CeQF?| zlrj&e4ZUmbhPPVP@#Ldm9-=hh>i%@^{RZgUTkM9mE|+u`U#m@w(h}rBmggd3b9Ih_ zC4#k_S^^JNSO4)or!zF8FjgC7DHV}2ibE|H`|wq&x>f|d0GnV@Sherp$C9p8BM9b! z>i5evF^W+wf!o*B=U(S@m?;WKjV~!;W)UK0Nt9ZZgoMIlyc(z1YPBq4MB2}vrQH;8_6fB-VJ^J>s e#<`k65UC!oy;2^dm?jD$C91l*?W+G>3)) +user_io ( + .clk_sys(clk_32), + .CONF_DATA0(CONF_DATA0), + .SPI_SCK(SPI_SCK), + .SPI_DI(SPI_DI), + .SPI_DO(SPI_DO), + .SPI_SS2(SPI_SS2), + .conf_str(CONF_STR), + .ypbpr(ypbpr), + .status(status), + .scandoubler_disable(scandoubler_disable), + .buttons(buttons), + .switches(switches), + .ps2_kbd_clk(ps2_kbd_clk), + .ps2_kbd_data(ps2_kbd_data), + .ioctl_ce(1'b1), + .ioctl_wr(ioctl_wr), + .ioctl_index(ioctl_index), + .ioctl_download(ioctl_download), + .ioctl_addr(ioctl_addr), + .ioctl_dout(ioctl_dout) + ); + +video_mixer #( + .LINE_LENGTH(480), + .HALF_DEPTH(0)) +video_mixer ( + .clk_sys ( clk_32 ), + .ce_pix ( clk_8 ), + .ce_pix_actual ( clk_8 ), + .SPI_SCK ( SPI_SCK ), + .SPI_SS3 ( SPI_SS3 ), + .SPI_DI ( SPI_DI ), + .R (blankn ? {r,r,r} : "000000" ), + .G (blankn ? {g,g,g} : "000000" ), + .B (blankn ? {b,b,b} : "000000" ), + .HSync ( hs ), + .VSync ( vs ), + .VGA_R ( VGA_R ), + .VGA_G ( VGA_G ), + .VGA_B ( VGA_B ), + .VGA_VS ( VGA_VS ), + .VGA_HS ( VGA_HS ), + .scanlines (scandoubler_disable ? 2'b00 : {status[3:2] == 3, status[3:2] == 2}), + .scandoubler_disable(1'b1),//scandoubler_disable), + .hq2x (status[3:2]==1), + .ypbpr ( ypbpr ), + .ypbpr_full ( 1 ), + .line_start ( 0 ), + .mono ( 0 ) + ); + + +sg1000_top sg1000_top ( + .RESET_n(~(status[0] | status[6] | buttons[1])), + .sys_clk(clk_8), + .clk_vdp(clk_16), + .pause(status[5]), +// .Cart_In(Cart_In), +// .Cart_Out(Cart_Out), +// .Cart_Addr(Cart_Addr), + .audio(audio), + .vblank(vb), + .hblank(hb), + .vga_hs(hs), + .vga_vs(vs), + .vga_r(r), + .vga_g(g), + .vga_b(b), + .Joy_A(), + .Joy_B() +); +/* +wire [7:0] Cart_Out; +wire [7:0] Cart_In; +wire [14:0] Cart_Addr; + +spram #( + .init_file("roms/32.hex"),//Test + .widthad_a(15), + .width_a(8)) +CART ( + .address(ioctl_download ? ioctl_addr[14:0] : Cart_Addr), + .clock(clk_32), + .data(ioctl_dout), + .wren(ioctl_wr), + .q(Cart_Out) + ); */ + +dac #( + .msbi_g(5)) +dac ( + .clk_i(clk_32), + .res_i(), + .dac_i(audio), + .dac_o(AUDIO_L) + ); + +assign AUDIO_R = AUDIO_L; + +endmodule \ No newline at end of file diff --git a/Sega - SG1000/rtl/build_id.tcl b/Sega - SG1000/rtl/build_id.tcl new file mode 100644 index 00000000..481e9ebf --- /dev/null +++ b/Sega - SG1000/rtl/build_id.tcl @@ -0,0 +1,35 @@ +# ================================================================================ +# +# Build ID Verilog Module Script +# Jeff Wiencrot - 8/1/2011 +# +# Generates a Verilog module that contains a timestamp, +# from the current build. These values are available from the build_date, build_time, +# physical_address, and host_name output ports of the build_id module in the build_id.v +# Verilog source file. +# +# ================================================================================ + +proc generateBuildID_Verilog {} { + + # Get the timestamp (see: http://www.altera.com/support/examples/tcl/tcl-date-time-stamp.html) + set buildDate [ clock format [ clock seconds ] -format %y%m%d ] + set buildTime [ clock format [ clock seconds ] -format %H%M%S ] + + # Create a Verilog file for output + set outputFileName "sys/build_id.v" + set outputFile [open $outputFileName "w"] + + # Output the Verilog source + puts $outputFile "`define BUILD_DATE \"$buildDate\"" + puts $outputFile "`define BUILD_TIME \"$buildTime\"" + close $outputFile + + # Send confirmation message to the Messages window + post_message "Generated build identification Verilog module: [pwd]/$outputFileName" + post_message "Date: $buildDate" + post_message "Time: $buildTime" +} + +# Comment out this line to prevent the process from automatically executing when the file is sourced: +generateBuildID_Verilog \ No newline at end of file diff --git a/Sega - SG1000/rtl/build_id.v b/Sega - SG1000/rtl/build_id.v new file mode 100644 index 00000000..6efa26c3 --- /dev/null +++ b/Sega - SG1000/rtl/build_id.v @@ -0,0 +1,2 @@ +`define BUILD_DATE "180816" +`define BUILD_TIME "200421" diff --git a/Sega - SG1000/rtl/dac.vhd b/Sega - SG1000/rtl/dac.vhd new file mode 100644 index 00000000..560e85e2 --- /dev/null +++ b/Sega - SG1000/rtl/dac.vhd @@ -0,0 +1,71 @@ +------------------------------------------------------------------------------- +-- +-- Delta-Sigma DAC +-- +-- $Id: dac.vhd,v 1.1 2006/11/29 14:17:19 arnim Exp $ +-- +-- Refer to Xilinx Application Note XAPP154. +-- +-- This DAC requires an external RC low-pass filter: +-- +-- dac_o 0---XXXXX---+---0 analog audio +-- 2K2 | +-- === 10n +-- | +-- GND +-- +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity dac is + + generic ( + msbi_g : integer := 7 + ); + port ( + clk_i : in std_logic; + res_i : in std_logic; + dac_i : in std_logic_vector(msbi_g downto 0); + dac_o : out std_logic + ); + +end entity; + +library ieee; +use ieee.numeric_std.all; + +architecture rtl of dac is + + signal DACout_q : std_logic; + signal DeltaAdder_s, + SigmaAdder_s, + SigmaLatch_q, + DeltaB_s : unsigned(msbi_g+2 downto 0); + +begin + + DeltaB_s(msbi_g+2 downto msbi_g+1) <= SigmaLatch_q(msbi_g+2) & + SigmaLatch_q(msbi_g+2); + DeltaB_s(msbi_g downto 0) <= (others => '0'); + + DeltaAdder_s <= unsigned('0' & '0' & dac_i) + DeltaB_s; + + SigmaAdder_s <= DeltaAdder_s + SigmaLatch_q; + + seq: process (clk_i, res_i) + begin + if res_i = '1' then + SigmaLatch_q <= to_unsigned(2**(msbi_g+1), SigmaLatch_q'length); + DACout_q <= '0'; + + elsif clk_i'event and clk_i = '1' then + SigmaLatch_q <= SigmaAdder_s; + DACout_q <= SigmaLatch_q(msbi_g+2); + end if; + end process seq; + + dac_o <= DACout_q; + +end architecture; diff --git a/Sega - SG1000/rtl/hq2x.sv b/Sega - SG1000/rtl/hq2x.sv new file mode 100644 index 00000000..f17732b6 --- /dev/null +++ b/Sega - SG1000/rtl/hq2x.sv @@ -0,0 +1,454 @@ +// +// +// Copyright (c) 2012-2013 Ludvig Strigeus +// Copyright (c) 2017 Sorgelig +// +// This program is GPL Licensed. See COPYING for the full license. +// +// +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on + +`define BITS_TO_FIT(N) ( \ + N <= 2 ? 0 : \ + N <= 4 ? 1 : \ + N <= 8 ? 2 : \ + N <= 16 ? 3 : \ + N <= 32 ? 4 : \ + N <= 64 ? 5 : \ + N <= 128 ? 6 : \ + N <= 256 ? 7 : \ + N <= 512 ? 8 : \ + N <=1024 ? 9 : 10 ) + +module hq2x_in #(parameter LENGTH, parameter DWIDTH) +( + input clk, + + input [AWIDTH:0] rdaddr, + input rdbuf, + output[DWIDTH:0] q, + + input [AWIDTH:0] wraddr, + input wrbuf, + input [DWIDTH:0] data, + input wren +); + + localparam AWIDTH = `BITS_TO_FIT(LENGTH); + wire [DWIDTH:0] out[2]; + assign q = out[rdbuf]; + + hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf0(clk,data,rdaddr,wraddr,wren && (wrbuf == 0),out[0]); + hq2x_buf #(.NUMWORDS(LENGTH), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf1(clk,data,rdaddr,wraddr,wren && (wrbuf == 1),out[1]); +endmodule + + +module hq2x_out #(parameter LENGTH, parameter DWIDTH) +( + input clk, + + input [AWIDTH:0] rdaddr, + input [1:0] rdbuf, + output[DWIDTH:0] q, + + input [AWIDTH:0] wraddr, + input [1:0] wrbuf, + input [DWIDTH:0] data, + input wren +); + + localparam AWIDTH = `BITS_TO_FIT(LENGTH*2); + wire [DWIDTH:0] out[4]; + assign q = out[rdbuf]; + + hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf0(clk,data,rdaddr,wraddr,wren && (wrbuf == 0),out[0]); + hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf1(clk,data,rdaddr,wraddr,wren && (wrbuf == 1),out[1]); + hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf2(clk,data,rdaddr,wraddr,wren && (wrbuf == 2),out[2]); + hq2x_buf #(.NUMWORDS(LENGTH*2), .AWIDTH(AWIDTH), .DWIDTH(DWIDTH)) buf3(clk,data,rdaddr,wraddr,wren && (wrbuf == 3),out[3]); +endmodule + + +module hq2x_buf #(parameter NUMWORDS, parameter AWIDTH, parameter DWIDTH) +( + input clock, + input [DWIDTH:0] data, + input [AWIDTH:0] rdaddress, + input [AWIDTH:0] wraddress, + input wren, + output [DWIDTH:0] q +); + + altsyncram altsyncram_component ( + .address_a (wraddress), + .clock0 (clock), + .data_a (data), + .wren_a (wren), + .address_b (rdaddress), + .q_b(q), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_b ({(DWIDTH+1){1'b1}}), + .eccstatus (), + .q_a (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_b = "NONE", + altsyncram_component.address_reg_b = "CLOCK0", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_input_b = "BYPASS", + altsyncram_component.clock_enable_output_b = "BYPASS", + altsyncram_component.intended_device_family = "Cyclone III", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = NUMWORDS, + altsyncram_component.numwords_b = NUMWORDS, + altsyncram_component.operation_mode = "DUAL_PORT", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_b = "UNREGISTERED", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", + altsyncram_component.widthad_a = AWIDTH+1, + altsyncram_component.widthad_b = AWIDTH+1, + altsyncram_component.width_a = DWIDTH+1, + altsyncram_component.width_b = DWIDTH+1, + altsyncram_component.width_byteena_a = 1; + +endmodule + +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +module DiffCheck +( + input [17:0] rgb1, + input [17:0] rgb2, + output result +); + + wire [5:0] r = rgb1[5:1] - rgb2[5:1]; + wire [5:0] g = rgb1[11:7] - rgb2[11:7]; + wire [5:0] b = rgb1[17:13] - rgb2[17:13]; + wire [6:0] t = $signed(r) + $signed(b); + wire [6:0] gx = {g[5], g}; + wire [7:0] y = $signed(t) + $signed(gx); + wire [6:0] u = $signed(r) - $signed(b); + wire [7:0] v = $signed({g, 1'b0}) - $signed(t); + + // if y is inside (-24..24) + wire y_inside = (y < 8'h18 || y >= 8'he8); + + // if u is inside (-4, 4) + wire u_inside = (u < 7'h4 || u >= 7'h7c); + + // if v is inside (-6, 6) + wire v_inside = (v < 8'h6 || v >= 8'hfA); + assign result = !(y_inside && u_inside && v_inside); +endmodule + +module InnerBlend +( + input [8:0] Op, + input [5:0] A, + input [5:0] B, + input [5:0] C, + output [5:0] O +); + + function [8:0] mul6x3; + input [5:0] op1; + input [2:0] op2; + begin + mul6x3 = 9'd0; + if(op2[0]) mul6x3 = mul6x3 + op1; + if(op2[1]) mul6x3 = mul6x3 + {op1, 1'b0}; + if(op2[2]) mul6x3 = mul6x3 + {op1, 2'b00}; + end + endfunction + + wire OpOnes = Op[4]; + wire [8:0] Amul = mul6x3(A, Op[7:5]); + wire [8:0] Bmul = mul6x3(B, {Op[3:2], 1'b0}); + wire [8:0] Cmul = mul6x3(C, {Op[1:0], 1'b0}); + wire [8:0] At = Amul; + wire [8:0] Bt = (OpOnes == 0) ? Bmul : {3'b0, B}; + wire [8:0] Ct = (OpOnes == 0) ? Cmul : {3'b0, C}; + wire [9:0] Res = {At, 1'b0} + Bt + Ct; + assign O = Op[8] ? A : Res[9:4]; +endmodule + +module Blend +( + input [5:0] rule, + input disable_hq2x, + input [17:0] E, + input [17:0] A, + input [17:0] B, + input [17:0] D, + input [17:0] F, + input [17:0] H, + output [17:0] Result +); + + reg [1:0] input_ctrl; + reg [8:0] op; + localparam BLEND0 = 9'b1_xxx_x_xx_xx; // 0: A + localparam BLEND1 = 9'b0_110_0_10_00; // 1: (A * 12 + B * 4) >> 4 + localparam BLEND2 = 9'b0_100_0_10_10; // 2: (A * 8 + B * 4 + C * 4) >> 4 + localparam BLEND3 = 9'b0_101_0_10_01; // 3: (A * 10 + B * 4 + C * 2) >> 4 + localparam BLEND4 = 9'b0_110_0_01_01; // 4: (A * 12 + B * 2 + C * 2) >> 4 + localparam BLEND5 = 9'b0_010_0_11_11; // 5: (A * 4 + (B + C) * 6) >> 4 + localparam BLEND6 = 9'b0_111_1_xx_xx; // 6: (A * 14 + B + C) >> 4 + localparam AB = 2'b00; + localparam AD = 2'b01; + localparam DB = 2'b10; + localparam BD = 2'b11; + wire is_diff; + DiffCheck diff_checker(rule[1] ? B : H, rule[0] ? D : F, is_diff); + + always @* begin + case({!is_diff, rule[5:2]}) + 1,17: {op, input_ctrl} = {BLEND1, AB}; + 2,18: {op, input_ctrl} = {BLEND1, DB}; + 3,19: {op, input_ctrl} = {BLEND1, BD}; + 4,20: {op, input_ctrl} = {BLEND2, DB}; + 5,21: {op, input_ctrl} = {BLEND2, AB}; + 6,22: {op, input_ctrl} = {BLEND2, AD}; + + 8: {op, input_ctrl} = {BLEND0, 2'bxx}; + 9: {op, input_ctrl} = {BLEND0, 2'bxx}; + 10: {op, input_ctrl} = {BLEND0, 2'bxx}; + 11: {op, input_ctrl} = {BLEND1, AB}; + 12: {op, input_ctrl} = {BLEND1, AB}; + 13: {op, input_ctrl} = {BLEND1, AB}; + 14: {op, input_ctrl} = {BLEND1, DB}; + 15: {op, input_ctrl} = {BLEND1, BD}; + + 24: {op, input_ctrl} = {BLEND2, DB}; + 25: {op, input_ctrl} = {BLEND5, DB}; + 26: {op, input_ctrl} = {BLEND6, DB}; + 27: {op, input_ctrl} = {BLEND2, DB}; + 28: {op, input_ctrl} = {BLEND4, DB}; + 29: {op, input_ctrl} = {BLEND5, DB}; + 30: {op, input_ctrl} = {BLEND3, BD}; + 31: {op, input_ctrl} = {BLEND3, DB}; + default: {op, input_ctrl} = 11'bx; + endcase + + // Setting op[8] effectively disables HQ2X because blend will always return E. + if (disable_hq2x) op[8] = 1; + end + + // Generate inputs to the inner blender. Valid combinations. + // 00: E A B + // 01: E A D + // 10: E D B + // 11: E B D + wire [17:0] Input1 = E; + wire [17:0] Input2 = !input_ctrl[1] ? A : + !input_ctrl[0] ? D : B; + + wire [17:0] Input3 = !input_ctrl[0] ? B : D; + InnerBlend inner_blend1(op, Input1[5:0], Input2[5:0], Input3[5:0], Result[5:0]); + InnerBlend inner_blend2(op, Input1[11:6], Input2[11:6], Input3[11:6], Result[11:6]); + InnerBlend inner_blend3(op, Input1[17:12], Input2[17:12], Input3[17:12], Result[17:12]); +endmodule + + +//////////////////////////////////////////////////////////////////////////////////////////////////// + +module Hq2x #(parameter LENGTH, parameter HALF_DEPTH) +( + input clk, + input ce_x4, + input [DWIDTH:0] inputpixel, + input mono, + input disable_hq2x, + input reset_frame, + input reset_line, + input [1:0] read_y, + input [AWIDTH+1:0] read_x, + output [DWIDTH:0] outpixel +); + + +localparam AWIDTH = `BITS_TO_FIT(LENGTH); +localparam DWIDTH = HALF_DEPTH ? 8 : 17; + +wire [5:0] hqTable[256] = '{ + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 35, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 58, 19, 19, 26, 58, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 35, 35, 23, 61, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 61, 7, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 58, 23, 15, 51, 35, 23, 61, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 47, 35, 23, 15, 55, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 55, 39, 23, 15, 51, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 39, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 51, 39, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 35, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 51, 35, 23, 15, 7, 43, + 19, 19, 26, 11, 19, 19, 26, 11, 23, 15, 7, 35, 23, 15, 7, 43 +}; + +reg [17:0] Prev0, Prev1, Prev2, Curr0, Curr1, Next0, Next1, Next2; +reg [17:0] A, B, D, F, G, H; +reg [7:0] pattern, nextpatt; +reg [1:0] i; +reg [7:0] y; + +wire curbuf = y[0]; +reg prevbuf = 0; +wire iobuf = !curbuf; + +wire diff0, diff1; +DiffCheck diffcheck0(Curr1, (i == 0) ? Prev0 : (i == 1) ? Curr0 : (i == 2) ? Prev2 : Next1, diff0); +DiffCheck diffcheck1(Curr1, (i == 0) ? Prev1 : (i == 1) ? Next0 : (i == 2) ? Curr2 : Next2, diff1); + +wire [7:0] new_pattern = {diff1, diff0, pattern[7:2]}; + +wire [17:0] X = (i == 0) ? A : (i == 1) ? Prev1 : (i == 2) ? Next1 : G; +wire [17:0] blend_result; +Blend blender(hqTable[nextpatt], disable_hq2x, Curr0, X, B, D, F, H, blend_result); + +reg Curr2_addr1; +reg [AWIDTH:0] Curr2_addr2; +wire [17:0] Curr2 = HALF_DEPTH ? h2rgb(Curr2tmp) : Curr2tmp; +wire [DWIDTH:0] Curr2tmp; + +reg [AWIDTH:0] wrin_addr2; +reg [DWIDTH:0] wrpix; +reg wrin_en; + +function [17:0] h2rgb; + input [8:0] v; +begin + h2rgb = mono ? {v[5:3],v[2:0], v[5:3],v[2:0], v[5:3],v[2:0]} : {v[8:6],v[8:6],v[5:3],v[5:3],v[2:0],v[2:0]}; +end +endfunction + +function [8:0] rgb2h; + input [17:0] v; +begin + rgb2h = mono ? {3'b000, v[17:15], v[14:12]} : {v[17:15], v[11:9], v[5:3]}; +end +endfunction + +hq2x_in #(.LENGTH(LENGTH), .DWIDTH(DWIDTH)) hq2x_in +( + .clk(clk), + + .rdaddr(Curr2_addr2), + .rdbuf(Curr2_addr1), + .q(Curr2tmp), + + .wraddr(wrin_addr2), + .wrbuf(iobuf), + .data(wrpix), + .wren(wrin_en) +); + +reg [1:0] wrout_addr1; +reg [AWIDTH+1:0] wrout_addr2; +reg wrout_en; +reg [DWIDTH:0] wrdata; + +hq2x_out #(.LENGTH(LENGTH), .DWIDTH(DWIDTH)) hq2x_out +( + .clk(clk), + + .rdaddr(read_x), + .rdbuf(read_y), + .q(outpixel), + + .wraddr(wrout_addr2), + .wrbuf(wrout_addr1), + .data(wrdata), + .wren(wrout_en) +); + +always @(posedge clk) begin + reg [AWIDTH:0] offs; + reg old_reset_line; + reg old_reset_frame; + + wrout_en <= 0; + wrin_en <= 0; + + if(ce_x4) begin + + pattern <= new_pattern; + + if(~&offs) begin + if (i == 0) begin + Curr2_addr1 <= prevbuf; + Curr2_addr2 <= offs; + end + if (i == 1) begin + Prev2 <= Curr2; + Curr2_addr1 <= curbuf; + Curr2_addr2 <= offs; + end + if (i == 2) begin + Next2 <= HALF_DEPTH ? h2rgb(inputpixel) : inputpixel; + wrpix <= inputpixel; + wrin_addr2 <= offs; + wrin_en <= 1; + end + if (i == 3) begin + offs <= offs + 1'd1; + end + + if(HALF_DEPTH) wrdata <= rgb2h(blend_result); + else wrdata <= blend_result; + + wrout_addr1 <= {curbuf, i[1]}; + wrout_addr2 <= {offs, i[1]^i[0]}; + wrout_en <= 1; + end + + if(i==3) begin + nextpatt <= {new_pattern[7:6], new_pattern[3], new_pattern[5], new_pattern[2], new_pattern[4], new_pattern[1:0]}; + {A, G} <= {Prev0, Next0}; + {B, F, H, D} <= {Prev1, Curr2, Next1, Curr0}; + {Prev0, Prev1} <= {Prev1, Prev2}; + {Curr0, Curr1} <= {Curr1, Curr2}; + {Next0, Next1} <= {Next1, Next2}; + end else begin + nextpatt <= {nextpatt[5], nextpatt[3], nextpatt[0], nextpatt[6], nextpatt[1], nextpatt[7], nextpatt[4], nextpatt[2]}; + {B, F, H, D} <= {F, H, D, B}; + end + + i <= i + 1'b1; + if(old_reset_line && ~reset_line) begin + old_reset_frame <= reset_frame; + offs <= 0; + i <= 0; + y <= y + 1'd1; + prevbuf <= curbuf; + if(old_reset_frame & ~reset_frame) begin + y <= 0; + prevbuf <= 0; + end + end + + old_reset_line <= reset_line; + end +end + +endmodule // Hq2x diff --git a/Sega - SG1000/rtl/mist_io.v b/Sega - SG1000/rtl/mist_io.v new file mode 100644 index 00000000..1cfcb753 --- /dev/null +++ b/Sega - SG1000/rtl/mist_io.v @@ -0,0 +1,496 @@ +// +// mist_io.v +// +// mist_io for the MiST board +// http://code.google.com/p/mist-board/ +// +// Copyright (c) 2014 Till Harbaum +// Copyright (c) 2015-2017 Sorgelig +// +// This source file is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published +// by the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This source file is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// +/////////////////////////////////////////////////////////////////////// + +// +// Use buffer to access SD card. It's time-critical part. +// Made module synchroneous with 2 clock domains: clk_sys and SPI_SCK +// (Sorgelig) +// +// for synchronous projects default value for PS2DIV is fine for any frequency of system clock. +// clk_ps2 = clk_sys/(PS2DIV*2) +// + +module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) +( + + // parameter STRLEN and the actual length of conf_str have to match + input [(8*STRLEN)-1:0] conf_str, + + // Global clock. It should be around 100MHz (higher is better). + input clk_sys, + + // Global SPI clock from ARM. 24MHz + input SPI_SCK, + + input CONF_DATA0, + input SPI_SS2, + output SPI_DO, + input SPI_DI, + + output reg [7:0] joystick_0, + output reg [7:0] joystick_1, + output reg [15:0] joystick_analog_0, + output reg [15:0] joystick_analog_1, + output [1:0] buttons, + output [1:0] switches, + output scandoubler_disable, + output ypbpr, + + output reg [31:0] status, + + // SD config + input sd_conf, + input sd_sdhc, + output [1:0] img_mounted, // signaling that new image has been mounted + output reg [31:0] img_size, // size of image in bytes + + // SD block level access + input [31:0] sd_lba, + input [1:0] sd_rd, + input [1:0] sd_wr, + output reg sd_ack, + output reg sd_ack_conf, + + // SD byte level access. Signals for 2-PORT altsyncram. + output reg [8:0] sd_buff_addr, + output reg [7:0] sd_buff_dout, + input [7:0] sd_buff_din, + output reg sd_buff_wr, + + // ps2 keyboard emulation + output ps2_kbd_clk, + output reg ps2_kbd_data, + output ps2_mouse_clk, + output reg ps2_mouse_data, + + // ps2 alternative interface. + + // [8] - extended, [9] - pressed, [10] - toggles with every press/release + output reg [10:0] ps2_key = 0, + + // [24] - toggles with every event + output reg [24:0] ps2_mouse = 0, + + // ARM -> FPGA download + input ioctl_ce, + input ioctl_wait, + output reg ioctl_download = 0, // signal indicating an active download + output reg [7:0] ioctl_index, // menu index used to upload the file + output reg ioctl_wr = 0, + output reg [13:0] ioctl_addr, + output reg [7:0] ioctl_dout +); + +reg [7:0] but_sw; +reg [2:0] stick_idx; + +reg [1:0] mount_strobe = 0; +assign img_mounted = mount_strobe; + +assign buttons = but_sw[1:0]; +assign switches = but_sw[3:2]; +assign scandoubler_disable = but_sw[4]; +assign ypbpr = but_sw[5]; + +// this variant of user_io is for 8 bit cores (type == a4) only +wire [7:0] core_type = 8'ha4; + +// command byte read by the io controller +wire drive_sel = sd_rd[1] | sd_wr[1]; +wire [7:0] sd_cmd = { 4'h6, sd_conf, sd_sdhc, sd_wr[drive_sel], sd_rd[drive_sel] }; + +reg [7:0] cmd; +reg [2:0] bit_cnt; // counts bits 0-7 0-7 ... +reg [9:0] byte_cnt; // counts bytes + +reg spi_do; +assign SPI_DO = CONF_DATA0 ? 1'bZ : spi_do; + +reg [7:0] spi_data_out; + +// SPI transmitter +always@(negedge SPI_SCK) spi_do <= spi_data_out[~bit_cnt]; + +reg [7:0] spi_data_in; +reg spi_data_ready = 0; + +// SPI receiver +always@(posedge SPI_SCK or posedge CONF_DATA0) begin + reg [6:0] sbuf; + reg [31:0] sd_lba_r; + reg drive_sel_r; + + if(CONF_DATA0) begin + bit_cnt <= 0; + byte_cnt <= 0; + spi_data_out <= core_type; + end + else + begin + bit_cnt <= bit_cnt + 1'd1; + sbuf <= {sbuf[5:0], SPI_DI}; + + // finished reading command byte + if(bit_cnt == 7) begin + if(!byte_cnt) cmd <= {sbuf, SPI_DI}; + + spi_data_in <= {sbuf, SPI_DI}; + spi_data_ready <= ~spi_data_ready; + if(~&byte_cnt) byte_cnt <= byte_cnt + 8'd1; + + spi_data_out <= 0; + case({(!byte_cnt) ? {sbuf, SPI_DI} : cmd}) + // reading config string + 8'h14: if(byte_cnt < STRLEN) spi_data_out <= conf_str[(STRLEN - byte_cnt - 1)<<3 +:8]; + + // reading sd card status + 8'h16: if(byte_cnt == 0) begin + spi_data_out <= sd_cmd; + sd_lba_r <= sd_lba; + drive_sel_r <= drive_sel; + end else if (byte_cnt == 1) begin + spi_data_out <= drive_sel_r; + end else if(byte_cnt < 6) spi_data_out <= sd_lba_r[(5-byte_cnt)<<3 +:8]; + + // reading sd card write data + 8'h18: spi_data_out <= sd_buff_din; + endcase + end + end +end + +reg [31:0] ps2_key_raw = 0; +wire pressed = (ps2_key_raw[15:8] != 8'hf0); +wire extended = (~pressed ? (ps2_key_raw[23:16] == 8'he0) : (ps2_key_raw[15:8] == 8'he0)); + +// transfer to clk_sys domain +always@(posedge clk_sys) begin + reg old_ss1, old_ss2; + reg old_ready1, old_ready2; + reg [2:0] b_wr; + reg got_ps2 = 0; + + old_ss1 <= CONF_DATA0; + old_ss2 <= old_ss1; + old_ready1 <= spi_data_ready; + old_ready2 <= old_ready1; + + sd_buff_wr <= b_wr[0]; + if(b_wr[2] && (~&sd_buff_addr)) sd_buff_addr <= sd_buff_addr + 1'b1; + b_wr <= (b_wr<<1); + + if(old_ss2) begin + got_ps2 <= 0; + sd_ack <= 0; + sd_ack_conf <= 0; + sd_buff_addr <= 0; + if(got_ps2) begin + if(cmd == 4) ps2_mouse[24] <= ~ps2_mouse[24]; + if(cmd == 5) begin + ps2_key <= {~ps2_key[10], pressed, extended, ps2_key_raw[7:0]}; + if(ps2_key_raw == 'hE012E07C) ps2_key[9:0] <= 'h37C; // prnscr pressed + if(ps2_key_raw == 'h7CE0F012) ps2_key[9:0] <= 'h17C; // prnscr released + if(ps2_key_raw == 'hF014F077) ps2_key[9:0] <= 'h377; // pause pressed + end + end + end + else + if(old_ready2 ^ old_ready1) begin + + if(cmd == 8'h18 && ~&sd_buff_addr) sd_buff_addr <= sd_buff_addr + 1'b1; + + if(byte_cnt < 2) begin + + if (cmd == 8'h19) sd_ack_conf <= 1; + if((cmd == 8'h17) || (cmd == 8'h18)) sd_ack <= 1; + mount_strobe <= 0; + + if(cmd == 5) ps2_key_raw <= 0; + end else begin + + case(cmd) + // buttons and switches + 8'h01: but_sw <= spi_data_in; + 8'h02: joystick_0 <= spi_data_in; + 8'h03: joystick_1 <= spi_data_in; + + // store incoming ps2 mouse bytes + 8'h04: begin + got_ps2 <= 1; + case(byte_cnt) + 2: ps2_mouse[7:0] <= spi_data_in; + 3: ps2_mouse[15:8] <= spi_data_in; + 4: ps2_mouse[23:16] <= spi_data_in; + endcase + ps2_mouse_fifo[ps2_mouse_wptr] <= spi_data_in; + ps2_mouse_wptr <= ps2_mouse_wptr + 1'd1; + end + + // store incoming ps2 keyboard bytes + 8'h05: begin + got_ps2 <= 1; + ps2_key_raw[31:0] <= {ps2_key_raw[23:0], spi_data_in}; + ps2_kbd_fifo[ps2_kbd_wptr] <= spi_data_in; + ps2_kbd_wptr <= ps2_kbd_wptr + 1'd1; + end + + 8'h15: status[7:0] <= spi_data_in; + + // send SD config IO -> FPGA + // flag that download begins + // sd card knows data is config if sd_dout_strobe is asserted + // with sd_ack still being inactive (low) + 8'h19, + // send sector IO -> FPGA + // flag that download begins + 8'h17: begin + sd_buff_dout <= spi_data_in; + b_wr <= 1; + end + + // joystick analog + 8'h1a: begin + // first byte is joystick index + if(byte_cnt == 2) stick_idx <= spi_data_in[2:0]; + else if(byte_cnt == 3) begin + // second byte is x axis + if(stick_idx == 0) joystick_analog_0[15:8] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[15:8] <= spi_data_in; + end else if(byte_cnt == 4) begin + // third byte is y axis + if(stick_idx == 0) joystick_analog_0[7:0] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[7:0] <= spi_data_in; + end + end + + // notify image selection + 8'h1c: mount_strobe[spi_data_in[0]] <= 1; + + // send image info + 8'h1d: if(byte_cnt<6) img_size[(byte_cnt-2)<<3 +:8] <= spi_data_in; + + // status, 32bit version + 8'h1e: if(byte_cnt<6) status[(byte_cnt-2)<<3 +:8] <= spi_data_in; + default: ; + endcase + end + end +end + + +/////////////////////////////// PS2 /////////////////////////////// +// 8 byte fifos to store ps2 bytes +localparam PS2_FIFO_BITS = 3; + +reg clk_ps2; +always @(negedge clk_sys) begin + integer cnt; + cnt <= cnt + 1'd1; + if(cnt == PS2DIV) begin + clk_ps2 <= ~clk_ps2; + cnt <= 0; + end +end + +// keyboard +reg [7:0] ps2_kbd_fifo[1<= 1)&&(ps2_kbd_tx_state < 9)) begin + ps2_kbd_data <= ps2_kbd_tx_byte[0]; // data bits + ps2_kbd_tx_byte[6:0] <= ps2_kbd_tx_byte[7:1]; // shift down + if(ps2_kbd_tx_byte[0]) + ps2_kbd_parity <= !ps2_kbd_parity; + end + + // transmission of parity + if(ps2_kbd_tx_state == 9) ps2_kbd_data <= ps2_kbd_parity; + + // transmission of stop bit + if(ps2_kbd_tx_state == 10) ps2_kbd_data <= 1; // stop bit is 1 + + // advance state machine + if(ps2_kbd_tx_state < 11) ps2_kbd_tx_state <= ps2_kbd_tx_state + 1'd1; + else ps2_kbd_tx_state <= 0; + end + end +end + +// mouse +reg [7:0] ps2_mouse_fifo[1<= 1)&&(ps2_mouse_tx_state < 9)) begin + ps2_mouse_data <= ps2_mouse_tx_byte[0]; // data bits + ps2_mouse_tx_byte[6:0] <= ps2_mouse_tx_byte[7:1]; // shift down + if(ps2_mouse_tx_byte[0]) + ps2_mouse_parity <= !ps2_mouse_parity; + end + + // transmission of parity + if(ps2_mouse_tx_state == 9) ps2_mouse_data <= ps2_mouse_parity; + + // transmission of stop bit + if(ps2_mouse_tx_state == 10) ps2_mouse_data <= 1; // stop bit is 1 + + // advance state machine + if(ps2_mouse_tx_state < 11) ps2_mouse_tx_state <= ps2_mouse_tx_state + 1'd1; + else ps2_mouse_tx_state <= 0; + end + end +end + + +/////////////////////////////// DOWNLOADING /////////////////////////////// + +localparam UIO_FILE_TX = 8'h53; +localparam UIO_FILE_TX_DAT = 8'h54; +localparam UIO_FILE_INDEX = 8'h55; + +// data_io has its own SPI interface to the io controller +always@(posedge SPI_SCK, posedge SPI_SS2) begin + reg [6:0] sbuf; + reg [7:0] cmd; + reg [4:0] cnt; + reg [13:0] addr; + + if(SPI_SS2) cnt <= 0; + else begin + // don't shift in last bit. It is evaluated directly + // when writing to ram + if(cnt != 15) sbuf <= { sbuf[5:0], SPI_DI}; + + // count 0-7 8-15 8-15 ... + if(cnt < 15) cnt <= cnt + 1'd1; + else cnt <= 8; + + // finished command byte + if(cnt == 7) cmd <= {sbuf, SPI_DI}; + + // prepare/end transmission + if((cmd == UIO_FILE_TX) && (cnt == 15)) begin + // prepare + if(SPI_DI) begin +// addr <= ioctl_index ? 14'd9 : 14'd0; //.p files loaded at $4009, ROM is at 0 + addr <= 14'd0; + ioctl_download <= 1; + end else begin + ioctl_addr <= addr; + ioctl_download <= 0; + end + end + + // command 0x54: UIO_FILE_TX + if((cmd == UIO_FILE_TX_DAT) && (cnt == 15)) begin + ioctl_addr <= addr; + ioctl_dout <= {sbuf, SPI_DI}; + addr <= addr + 1'd1; + ioctl_wr <= 1; + end else + ioctl_wr <= 0; + + // expose file (menu) index + if((cmd == UIO_FILE_INDEX) && (cnt == 15)) ioctl_index <= {sbuf, SPI_DI}; + end +end + +endmodule diff --git a/Sega - SG1000/rtl/osd.v b/Sega - SG1000/rtl/osd.v new file mode 100644 index 00000000..c62c10af --- /dev/null +++ b/Sega - SG1000/rtl/osd.v @@ -0,0 +1,179 @@ +// A simple OSD implementation. Can be hooked up between a cores +// VGA output and the physical VGA pins + +module osd ( + // OSDs pixel clock, should be synchronous to cores pixel clock to + // avoid jitter. + input clk_sys, + + // SPI interface + input SPI_SCK, + input SPI_SS3, + input SPI_DI, + + // VGA signals coming from core + input [5:0] R_in, + input [5:0] G_in, + input [5:0] B_in, + input HSync, + input VSync, + + // VGA signals going to video connector + output [5:0] R_out, + output [5:0] G_out, + output [5:0] B_out +); + +parameter OSD_X_OFFSET = 10'd0; +parameter OSD_Y_OFFSET = 10'd0; +parameter OSD_COLOR = 3'd0; + +localparam OSD_WIDTH = 10'd256; +localparam OSD_HEIGHT = 10'd128; + +// ********************************************************************************* +// spi client +// ********************************************************************************* + +// this core supports only the display related OSD commands +// of the minimig +reg osd_enable; +(* ramstyle = "no_rw_check" *) reg [7:0] osd_buffer[2047:0]; // the OSD buffer itself + +// the OSD has its own SPI interface to the io controller +always@(posedge SPI_SCK, posedge SPI_SS3) begin + reg [4:0] cnt; + reg [10:0] bcnt; + reg [7:0] sbuf; + reg [7:0] cmd; + + if(SPI_SS3) begin + cnt <= 0; + bcnt <= 0; + end else begin + sbuf <= {sbuf[6:0], SPI_DI}; + + // 0:7 is command, rest payload + if(cnt < 15) cnt <= cnt + 1'd1; + else cnt <= 8; + + if(cnt == 7) begin + cmd <= {sbuf[6:0], SPI_DI}; + + // lower three command bits are line address + bcnt <= {sbuf[1:0], SPI_DI, 8'h00}; + + // command 0x40: OSDCMDENABLE, OSDCMDDISABLE + if(sbuf[6:3] == 4'b0100) osd_enable <= SPI_DI; + end + + // command 0x20: OSDCMDWRITE + if((cmd[7:3] == 5'b00100) && (cnt == 15)) begin + osd_buffer[bcnt] <= {sbuf[6:0], SPI_DI}; + bcnt <= bcnt + 1'd1; + end + end +end + +// ********************************************************************************* +// video timing and sync polarity anaylsis +// ********************************************************************************* + +// horizontal counter +reg [9:0] h_cnt; +reg [9:0] hs_low, hs_high; +wire hs_pol = hs_high < hs_low; +wire [9:0] dsp_width = hs_pol ? hs_low : hs_high; + +// vertical counter +reg [9:0] v_cnt; +reg [9:0] vs_low, vs_high; +wire vs_pol = vs_high < vs_low; +wire [9:0] dsp_height = vs_pol ? vs_low : vs_high; + +wire doublescan = (dsp_height>350); + +reg ce_pix; +always @(negedge clk_sys) begin + integer cnt = 0; + integer pixsz, pixcnt; + reg hs; + + cnt <= cnt + 1; + hs <= HSync; + + pixcnt <= pixcnt + 1; + if(pixcnt == pixsz) pixcnt <= 0; + ce_pix <= !pixcnt; + + if(hs && ~HSync) begin + cnt <= 0; + pixsz <= (cnt >> 9) - 1; + pixcnt <= 0; + ce_pix <= 1; + end +end + +always @(posedge clk_sys) begin + reg hsD, hsD2; + reg vsD, vsD2; + + if(ce_pix) begin + // bring hsync into local clock domain + hsD <= HSync; + hsD2 <= hsD; + + // falling edge of HSync + if(!hsD && hsD2) begin + h_cnt <= 0; + hs_high <= h_cnt; + end + + // rising edge of HSync + else if(hsD && !hsD2) begin + h_cnt <= 0; + hs_low <= h_cnt; + v_cnt <= v_cnt + 1'd1; + end else begin + h_cnt <= h_cnt + 1'd1; + end + + vsD <= VSync; + vsD2 <= vsD; + + // falling edge of VSync + if(!vsD && vsD2) begin + v_cnt <= 0; + vs_high <= v_cnt; + end + + // rising edge of VSync + else if(vsD && !vsD2) begin + v_cnt <= 0; + vs_low <= v_cnt; + end + end +end + +// area in which OSD is being displayed +wire [9:0] h_osd_start = ((dsp_width - OSD_WIDTH)>> 1) + OSD_X_OFFSET; +wire [9:0] h_osd_end = h_osd_start + OSD_WIDTH; +wire [9:0] v_osd_start = ((dsp_height- (OSD_HEIGHT<> 1) + OSD_Y_OFFSET; +wire [9:0] v_osd_end = v_osd_start + (OSD_HEIGHT<= h_osd_start) && (h_cnt < h_osd_end) && + (VSync != vs_pol) && (v_cnt >= v_osd_start) && (v_cnt < v_osd_end); + +reg [7:0] osd_byte; +always @(posedge clk_sys) if(ce_pix) osd_byte <= osd_buffer[{doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt[7:0]}]; + +wire osd_pixel = osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; + +assign R_out = !osd_de ? R_in : {osd_pixel, osd_pixel, OSD_COLOR[2], R_in[5:3]}; +assign G_out = !osd_de ? G_in : {osd_pixel, osd_pixel, OSD_COLOR[1], G_in[5:3]}; +assign B_out = !osd_de ? B_in : {osd_pixel, osd_pixel, OSD_COLOR[0], B_in[5:3]}; + +endmodule diff --git a/Sega - SG1000/rtl/pll.v b/Sega - SG1000/rtl/pll.v new file mode 100644 index 00000000..f0d3343f --- /dev/null +++ b/Sega - SG1000/rtl/pll.v @@ -0,0 +1,357 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: pll.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module pll ( + inclk0, + c0, + c1, + c2); + + input inclk0; + output c0; + output c1; + output c2; + + wire [4:0] sub_wire0; + wire [0:0] sub_wire6 = 1'h0; + wire [2:2] sub_wire3 = sub_wire0[2:2]; + wire [0:0] sub_wire2 = sub_wire0[0:0]; + wire [1:1] sub_wire1 = sub_wire0[1:1]; + wire c1 = sub_wire1; + wire c0 = sub_wire2; + wire c2 = sub_wire3; + wire sub_wire4 = inclk0; + wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; + + altpll altpll_component ( + .inclk (sub_wire5), + .clk (sub_wire0), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .locked (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 27, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 64, + altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 27, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 16, + altpll_component.clk1_phase_shift = "0", + altpll_component.clk2_divide_by = 27, + altpll_component.clk2_duty_cycle = 50, + altpll_component.clk2_multiply_by = 8, + altpll_component.clk2_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 37037, + altpll_component.intended_device_family = "Cyclone III", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_UNUSED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_USED", + altpll_component.port_clk2 = "PORT_USED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.width_clock = 5; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "27" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "27" +// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "27" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "64.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "16.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "8.000000" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "64" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "16" +// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "8" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "64.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "16.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "8.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" +// Retrieval info: PRIVATE: USE_CLK2 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "27" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "64" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "27" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "16" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "27" +// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "8" +// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 +// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Sega - SG1000/rtl/roms/32.hex b/Sega - SG1000/rtl/roms/32.hex new file mode 100644 index 00000000..cc0b6834 --- /dev/null +++ b/Sega - SG1000/rtl/roms/32.hex @@ -0,0 +1,2049 @@ +:10000000F3ED56C3D611FFFF22188BE3D5C3C10011 +:10001000E1C1D1E3C920080E3AC98ACB47C9FFFF25 +:10002000CD603CC93D080E09CD2A41C94E204F4044 +:100030003200C1C94D415040F3F5C5D5E5DDE5FDC0 +:10004000E508D9F5C5D5CD7702DBBFCD5B09D1C1B8 +:10005000F1D908FDE1DDE1E1D1C1F1FBC93A39385F +:10006000393837363534F53A228BFEFF20123A17ED +:100070008BFE20380B3A238B2F32238BAF32178B1A +:10008000F1ED453A1A8BB72807324383AF324483E8 +:10009000C90000FF910003010301030003010301F4 +:1000A0000300030003010301030003000301030134 +:1000B0000300030003010301030103000300030025 +:1000C000FEC5E52A188BC93A0B8BE6BF18053A0B1B +:1000D0008BF640320B8B470E0178D3BF79F680D375 +:1000E000BFC9211D0101BF10EDB33A1D01320A8BBA +:1000F0003A1F01320B8B210018CD7601AF0603D3D6 +:10010000BE3C20FB10F9010018C52100203E11CD96 +:100110008E01210000C1AFCD8E01C337010280A244 +:10012000810682FF83038436850786008721003895 +:10013000010008AFCD8E0121001B0100203ED1CD72 +:100140006401232379CD6401230C0C0C0C3E01CDFA +:1001500064012310E8C9EBCD76011AD3BE130B79E5 +:10016000B020F7C9F5CD7601E3E3F1D3BEC9CD8365 +:1001700001E3E3DBBEC97DF3D3BF7CE63FF640D3AA +:10018000BFFBC97DF3D3BF7CE63FD3BFFBC9F5CD31 +:100190007601F1D3BEF50B79B020F7F1C93A0D8B9A +:1001A00021AB01E60F5F1600197EC90001050007AB +:1001B0000806000302040000000000E5210D8BCBBF +:1001C0006EE13EFFC0AFC9C55179E6074F21E60198 +:1001D000097EF57B0F0F0FE61F677AE6F84F7BE687 +:1001E00007B16FF1C1C98040201008040201060266 +:1001F00011FFFF1B7AB320FB10F6C93E92D3DFAF8D +:10020000D3DEDBDEB728023E80320C8BC93A0C8B82 +:10021000073005DBDCC36C023E07D3DEDBDC4F3E80 +:1002200004D3DEDBDCCB6F2002CB893E05D3DEDBE3 +:10023000DCCB6F2002CB913E06D3DEDBDCCB6F2024 +:1002400002CB99CB772002CB813E02D3DEDBDCCB25 +:10025000672002CBA13E01D3DEDBDCCB67280A3E60 +:1002600003D3DEDBDCCB672002CBA979210D8B2FFA +:1002700047AE7023A077C93A178BFE2030043C327A +:10028000178B3A238BFEFFC8CD8300CD0D02CDEA3C +:10029000233A158BFE0228253AE48AB720173A0E36 +:1002A0008BE610FE10200E3A148BB720043E011886 +:1002B00001AF32148B21138BAFB6C835C93A0D8B01 +:1002C000E630FE3028403A0E8BB72803CDD1021815 +:1002D000E4E5C5F52113033A1F8BFE0E28154FAF39 +:1002E00047097E211F8B34C1B82805211F8B36009A +:1002F000C1E1C9F1E63028F3AF32158B3C32218BD6 +:100300003C32208B18EAAF32158B3C32218B3220E5 +:100310008B18BC0408040804080204010801040244 +:100320000820434F4E54494E55452040444F4F52AC +:1003300020204F50454E40048020065C03FC020AFA +:100340000E802106E803FC02030B802201A903FCB6 +:1003500000030A80230625040301010D8004EA81BD +:1003600003A280EA81039B04EA9803A0A0EDA00207 +:100370009FA280EA98039F9DED9B029F9B04E55EF0 +:1003800003ED9B029FA29FEDA0029DA2A2ED9B0206 +:100390009FA29FEDA0029DEBEDA702A6A4A2EDA453 +:1003A00002A2A2A2EDA202A0EB801AEAD1039F9DB5 +:1003B000EAD1039F049F029D9B9A9B029A9A9A9A64 +:1003C000029898989802969A808008080808E5ABE9 +:1003D000039B029FA20480029D01A0A2A09F9D9B5F +:1003E000029FA2048002A0EB8004EA16049A0298FD +:1003F0009680EA16048002968F0480080808089BFD +:10040000029A9896980296969696029493918F02E5 +:10041000938F04E5EA03800696029A0298049602F6 +:1004200080069602EBE3048004EA2F04E52904E148 +:100430000BE4028D04E10DE40504E10BE4028D02FE +:1004400002E10DE40504EB048020066C04F70308C8 +:100450000E802106B604F702060A8022069304F7EE +:1004600003080D80230625040301010D8004EA7DA5 +:1004700004A2A2A2EA7D04ABA704E56E04EDA704E2 +:10048000AB02A7EDA902AEAEAEEDA704AB02A7ED9D +:10049000A902EB8004EAA4049D9A96EAA404A29F10 +:1004A00004E595049F02A2A7A2A002A2A6A29F0211 +:1004B000A2A7A2A002EB80048F088E088F088E08E6 +:1004C0008F088E088F088E048FE5B80402802007FD +:1004D000DF04FA00060E802107F504FA00060E9BE1 +:1004E000039B019D039D019F039F01A003A0019F0A +:1004F00004969B80E293039301960396019B039BD2 +:10050000019D039D019B04939380E20480200630AB +:1005100005F5030A0D8021066E05F502030C802205 +:10052000068F05F502050C802306AD050301010DBC +:100530008002EDA401809F9FA1029FEDA4029FA1D4 +:100540009FEDA601809F9FA1029FEDA6029FA19F04 +:10055000EDA801809F9FA1029FEDA8029FA19FE4AB +:100560000BEDA904ABEDAD04AFE40AE53205800262 +:100570009F01809C9C9D029C9F029C9D9CEC000383 +:100580007005E40BA104A3A404A6E403E5700580B0 +:1005900002980602980602970697020697029806A6 +:1005A000029806029A049C9D049FE59105E304804D +:1005B00002E5290403A02004D0050301010EA021B7 +:1005C00004DA050301010EA02204E4050301010D74 +:1005D000A5AA049994028D8803E2A7AC0499A0020D +:1005E0008DA003E2A49E04999E02818803E2018803 +:1005F0002101F8050301010D00E002012002008045 +:100600000101F00200000202500200D00201F001DC +:1006100000000400C00202D003035001008001006A +:100620004001EF01A821012D060301010D01820007 +:10063000F0050000000003020003000400F0008049 +:10064000020200030003EF018022015106000101B4 +:100650000D9902A099A0EE01EC000A51069903A0A1 +:1006600099A0EEFFEC000D5D06E2038020038606F4 +:10067000000104078021038606030104078022038A +:100680008606070104078D019194F001EE01EC004C +:100690000A86069414E204802005D7060001070D9F +:1006A0008021050A070001040C802201D306000006 +:1006B000040C802305BB060001040DE304EA2F04AB +:1006C000EC0007BD06E10BE4020202E10DE40502C5 +:1006D0000204E28001E005EAFF069E089804049BFC +:1006E000989D029B999B9D08EAFF069E80A280042C +:1006F0009EA202A404A080029D049B029904E29998 +:1007000004999D999E029D9B9DEB91088D04919467 +:10071000069602940480929194809902A09BA099DD +:10072000048091088D049194068F02940280988031 +:10073000049899029B04998002940492029104E225 +:10074000038020045C070101040D8021047307016C +:1007500001040D8022048A070101040D8D029D9978 +:100760009E9B9EA09DA0A29EA2A4A0A4A50E020254 +:100770000202E29D02A09DA29EA2A4A0A4A5A2A501 +:10078000A7A4A79D0E02020202E281028D81838F3F +:1007900083859185869286889488810E02888181DE +:1007A000E204802005C6070D030C0F802105100808 +:1007B00001010C0C802205780801010C0C80230536 +:1007C000C7080001040D80049D9D029906A0049EA7 +:1007D0009D02A00698089B940C80089206969908A2 +:1007E0009E049D9B0C9804941080049DA0029E067C +:1007F0009D049B049D02A00698089B94108004927F +:100800000296049996029E049D9B029D069920E201 +:1008100080048D02910494910299108004940698AA +:100820000499029D0A99029896800A920491029274 +:100830000494029604940292048004940698049905 +:10084000029B1080068D02919406990A98049402E6 +:100850008004949602980499029B04990298049942 +:10086000029896800492029698999B99800494022B +:1008700098999B99989420E281028DEC0008780861 +:100880008894EC00068008889988988692EC000687 +:100890008B08869186928894EC0006960888968844 +:1008A00098818DEC0007A10881988894EC0006AA35 +:1008B00008889988988692EC0004B50888948894F2 +:1008C000889688988120E2E304EA2F04EC0007C9A7 +:1008D00008EAE808EA2F04EA2F04EA2F04EAE80805 +:1008E000EA2F04EA2F0408E2E10BE4020202E10D20 +:1008F000E405040404EB0E091009120915091C098A +:10090000240931092909370937093F093F09FF013E +:10091000FF02FFFD02FFEEDDCCBBAA01FFEEDCBA59 +:1009200098765402EFFEDCBA02EEFF429977A655A4 +:1009300001DFFFED876500EEFF429977A65501FFC5 +:10094000E8DDCCBBAC014C094E095109FE00FFEEBD +:1009500000EFFEEEEFFEEDDEEFFE003A228BFEFF33 +:10096000200B3A238BFEFF2004CD470DC9CD87090C +:10097000DD2102C10606C5DDCB007EC4390A112087 +:1009800000DD19C110F0C93A00C1CB7FCA380DFE95 +:100990008ECAE209D2380DD681F84F060021AE0981 +:1009A00009094E2346111900197E23666FE93703A2 +:1009B0004704CC040B05B405EE05230647066A067A +:1009C0006A0696064007A107F209F209F209F20940 +:1009D000F209FB09FB09050A050AF209F209F20905 +:1009E000F2093EDFD37FAF32A2C12142C1CB96C311 +:1009F000350ACD380D1102C1C3120A2122C1CBD64E +:100A00001182C1180D21B9C136002142C1CBD611C6 +:100A1000A2C160694623C5010900EDB03E20121352 +:100A20003E011213AF1213121312E521120019EB3B +:100A3000E113C110E13E80F7C9DD5E0CDD560D13F8 +:100A4000DD730CDD720DDD6E0ADD660BB7ED52CC89 +:100A50009F0BDD5E10DD56117BB22007DD36160FD1 +:100A6000C3110BDDCB006E2025DD7E06B72014DD23 +:100A70007312DD7213C3CA0A3D4F060009097E23B3 +:100A8000666FC9214609CD780ACD690B183CD5DDC2 +:100A90006E14DD6615B7ED52F57DF29F0AED4467E1 +:100AA000DD5E0CCDE90DDD5E0ACDF50D5F1600F1C2 +:100AB0007BF2BA0AED442802155FE119EBDD7312EF +:100AC000DD7213DD7E06B7C2830ADD7E07B7200B19 +:100AD000DD7E082FE60FDD7716180BCBBF21F60859 +:100AE000CD780ACD2F0BDDCB00762025DD7E01E60B +:100AF0000F4F060021240B094EDD7E12E60FB1CD0B +:100B0000300DDD7E12E6F0DDB6130F0F0F0FCD3086 +:100B10000DDD7E01E60F4F060021280B097EDDB6B4 +:100B200016C3300D80A0C0C090B0D0F0DD770EE5C8 +:100B3000DD7E0ECB3FF54F060009F17EE138140F44 +:100B40000F0F0FB728E6FE102005DD350E18E0FE6A +:100B500020280BDD340EF6F0DD86083C3801AF2F7F +:100B6000E60FDD7716C9DD770FE5DD7E0FCB3FF5AC +:100B70004F060009F17EE138140F0F0F0FB7CA6658 +:100B80000BFE102008DD350F18DFFE20C8DD340F06 +:100B90002FE60F6F2600EB19DD7512DD7413C9DD2A +:100BA0005E03DD56041A13FEE0D2330CDDCB005E8B +:100BB0002060B7F2EE0BD6802803DD860521570DA5 +:100BC0004F060009097EDD7710237EDD7711DDCB2E +:100BD000006E28581A13D680DD860521570D4F0662 +:100BE0000009097EDD7714237EDD77151A13D5679A +:100BF000DD5E02CDE90DD1DD750ADD740BAFDD7769 +:100C00000EDD770FDD7303DD7204AFDD770CDD776A +:100C10000DC9DD77111A13DD7710DDCB006E28CCFE +:100C20001A13DD77151A13DD771418C01AB7F2ED11 +:100C30000B18CA21460CE5E61F214A0C4F06000995 +:100C4000097E23666FE913C3A50B850C9E0CC50CAA +:100C5000A30CBA0CBF0C6C0CC50CC50CC50CDB0C82 +:100C6000F60C090D6C0C7D0CCC0C8A0C3A01C132CF +:100C700004C13224C13244C13264C11BC91ADD86A9 +:100C800005DD7705C91ADD7702C91ADD8608FE0081 +:100C9000F2960CAF1809FE0F38053E0F18011ADD49 +:100CA0007708C91AF6E0F5CD300DF1F6FC3C2005C9 +:100CB000DDCB00B6C9DDCB00F6C91ADD7707C9EB7D +:100CC0005E23561BC92142C1CB9618052122C1CBF8 +:100CD00096AFDD7700CD210DE1E1C91A4F131A4718 +:100CE000C5DDE5E1DD3509DD4E09DD350906000923 +:100CF000722B73D11BC9DDE5E1DD4E090600095EEB +:100D00002356DD3409DD3409C91A13C6174F06000E +:100D1000DDE5E1097EB720021A771335C2BF0C1357 +:100D2000C9DD7E01E60F4F060021280B097EF60F74 +:100D3000DDCB0056C0D37FC9D92102C11103C10147 +:100D4000BF003600EDB0D9D921530D0E7F0604ED5A +:100D5000B3D9C99FBFDFFF0000FF03C70390035D46 +:100D6000032D03FF02D402AB02850261023F021E83 +:100D7000020002E301C801AF01960180016A015639 +:100D800001430130011F010F010001F200E400D70F +:100D900000CB00C000B500AB00A100980090008817 +:100DA000008000790072006C00660060005B0055F6 +:100DB0000051004C004800440040003C003900361F +:100DC00000330030002D002B0028002600240022D4 +:100DD0000020001E001C001B001900180016001542 +:100DE00000140013001200110016006A06082930D2 +:100DF000011910FAC90608ED6A7C3803BB38039361 +:100E000067B710F37D172FC9CDD60FAF3210C03A98 +:100E10000D8BE630200CFB76CD2A0E3A10C0E60290 +:100E200028EDCDE40FAF32E48AC92110C07E0F3027 +:100E3000040F3020C9CBC63E803213C021E00E0122 +:100E4000C00011400ECDA00F21980E112C190108E1 +:100E500003C3BE0F2113C03520052110C0CBCE2106 +:100E600011C07E34FE182002360026006F11B00E2D +:100E70001911402ECD880E1108001911802ECD8831 +:100E80000E1108001911C02ECDB50F0608C50108B6 +:100E900000CDA30FC110F6C9C8C9CACBCCCDCECFE7 +:100EA000D0D1D2D3D4D5D6D7D8D9DADBDCDDDEDFCA +:100EB000404040505050707070F0F0F0F0F0F07022 +:100EC0007070505050404040404040505050707002 +:100ED00070F0F0F0F0F0F070707050505040404002 +:100EE000000F3F7F78F7EFEF00FEFEFE00FEFEFEF4 +:100EF000000F3F7F78F7EFEF00F8F8F901FBFBFBFD +:100F0000003FFFFFE0DFBFBF00F8F8F800F8F8F897 +:100F100000060F1F1F3936361C225DD5D9D5E2FCDD +:100F2000EEEFEFF7787F3F0F00E0F8FC3CDEEEEEEF +:100F3000EEEFEFEFE0EFEFEF03F3F3F303F3F3F391 +:100F4000B8B7B7B7B0B7B7B700F8F8F818D8D9D965 +:100F5000766F6FEFD9D9D9B0E0606070B0B0B8D813 +:100F600000FFFFFF00FFFFFFEEEEEEDE3CFCF8E0CF +:100F7000EEEFEFF7787F3F0F03FBFBFB01F9F8F88B +:100F8000B8BFBFDFE0FFFF3FD9DBDBDB1FFEFEFEAC +:100F9000B0BF6F6F60CFCFCFD8DCECEC0EFEFEFEA3 +:100FA000CDB50FE5C5CDAB0FC1E1C97ED3BE230BD7 +:100FB00078B120F7C97BD3BF7AF640D3BFC9C5D576 +:100FC000E5C50600CDA00F09E521200019EBE1C120 +:100FD00010EFE1D1C1C9F321001B7DD3BF7CD3BF8A +:100FE0003ED0D3BEAF210018010003C38E01000024 +:100FF000000C12120C000000000000C06060000035 +:1010000000F0080830000000007E7E00000030FE86 +:10101000347CB6BA7400008C8686E6CC00001800DA +:101020007CC6060C380032FE307CB2B2740064FA22 +:101030006C6C6C6CD8000E1C70E0701C0E000C9E6A +:101040008C8CCC8C18007CC6000000C67C00181864 +:101050007E0C86C07C00C0C0C0C0C0E67C000CFE18 +:101060003C4C3C0C38006CFE6C6C60603C007C18A6 +:10107000FE3860603C0060FE606EC0C0CE0030FE96 +:10108000607C06067C0000FCFE06061C0000FE1CC0 +:10109000387060603C0020663C3060603E0000DEDE +:1010A000C0C0C0E0DE007CD6929292A244000CBE8A +:1010B0008C9CEEAC180024E62444444C38003018D4 +:1010C000309A9A183000003078CC86020000187CE4 +:1010D000187C385C3000447CE6B69A92640060F874 +:1010E00060F86064380068FC6A6234303000186C64 +:1010F00060FCC6061C0046464646060C18007E0CE0 +:101100003C06324E3C0060F46C7C74E4620060F09B +:101110007C66E6666C0060F86E3078603E006AF5CA +:101120006A6C6C6CD80015BD9898D8983000CACA03 +:10113000C0C0C0E67C0015FD384C3C0C3800751D65 +:10114000FE3860603C0065F5606EC0C0CE0065652D +:101150003C3060603E000000F81870408000003CA9 +:10116000181818187E0030FE363636366C00FE062B +:10117000060C1C36C2007E6676DE0C0C1800FE06DD +:10118000060E0C1CF000FE06066C3C180C00FE005F +:10119000FE06060CF800C6C6C6C6060C30006C6C0F +:1011A0006C6C6C4E8C00FEC6C606060C380035FD15 +:1011B000363636366C003535FE38FE181800656553 +:1011C000786C64606000026D6A6C6C4482000000A0 +:1011D00000000000000031F0C0CD470D3100A0013B +:1011E0000010210000E50B78B120FA3100C40100A5 +:1011F00002210000E50B78B120FA31F0C0CDEE01FC +:10120000CDFB01CD1F20CDB035AF32148B3C321554 +:101210008BCDF91DCD912038EDCD1E1218EBCDDC14 +:1012200036CD69123AE38AB7C03A2483B720EF3A41 +:10123000CA8A32168B3E0732CA8A3E063201C1CDB7 +:10124000E8223A208BB72001C93E0532248332368A +:1012500083AF32E48A212B830603772310FC213DE0 +:10126000830603772310FC18B5AF32C98A32D68AB9 +:1012700032E08A32E18A32E28A32E38A32E88ACD87 +:101280007A353A208BFE0220043D32E28AAF3220CA +:101290008B3AE48AFE0128493A238BFEFF2019AFDE +:1012A000321B8B3A2083FE62200C3A0D8BFE3220DB +:1012B000053E01321B8B18E03A1B8BB72823CFD792 +:1012C0003A0D8BFE31201A3A1A8BB728063E81F769 +:1012D000AF18053E82F73E03324383323183321A20 +:1012E0008BDF2007AF32CB8A32CC8ACDB0353E01BE +:1012F00032E88ACD2A24AF32E88ACDCC34CDFC2D19 +:101300003AE38AB7C0CDA016CDCB15CD4513CDA1FC +:1013100041CD7D16CD0A16CD0817CD802C3AE08A36 +:10132000B7280DDD21128BDD360000DD360100C946 +:101330003AE18ACD5523B7C03AC98A3C32C98ACD31 +:101340004B34C3911221000011FF003A3483473A15 +:1013500035834F0C0CCD8017B73AD68A280AFE1178 +:10136000380B3E8EF7AF1805B720023E1132D68AF1 +:101370003ACB8AFE02380AFE05300616012E031803 +:1013800008FE06380416FF2E013ACC8AB73AD68AF0 +:10139000200AB728193E1132D68A18123C32D68A52 +:1013A000FE11300AFE0930041E0118021E00B72883 +:1013B000037A1804CD4E1A82CD83147BFE012002DD +:1013C000CBD4FEFF2002CBDCCD8017B72802CB9C0C +:1013D000CB5C2804AFCD6C140D0D0404AFCD5A17AF +:1013E0002802CBA4050505AFCD5A172802CBAC04C3 +:1013F000CB5C2043CDC2140DCB44201ACD4C1C280D +:1014000002CB9405CB4C280DCB542809CD4C1C287D +:1014100004CB94CB8C04CB4C201C04CD4C1C280258 +:10142000CB9404CB44280DCB542809CD4C1C280464 +:10143000CB94CB8405050C0CCB542003CDC2140DEA +:101440003AD68AB72804CBD5181ECB5C2804CBD556 +:101450001816CB442807CDD5142002CB84CB4C28BA +:1014600007CDE7142002CB8CCD9D14C9F505CD4DD9 +:101470001C2802CB8CF1040404CD4D1C2802CB8423 +:101480000505C9FEFE2003CBEC3CFEFF2002CBCCC1 +:10149000FE022003CBE43DFE01C0CBC4C97C4C2638 +:1014A00000E6302802CBE479E60C2808CBD4E60429 +:1014B0002802CBDC79E6032808CBC4E6012802CB5E +:1014C000CCC93AD68AB72803AF1806CB5C20F93EC0 +:1014D00001CD6C14C9E521FB143E03CDEF14E1CD21 +:1014E0008615C8CD0015C9E521FE143E0118EC3261 +:1014F000FD8A3EC332FA8A22FB8AC90404C905C99F +:10150000CFDF206CCDFA8A3E02CDE918E5DDE15748 +:10151000200216FF0C3E02CDE9185F20021EFF7B61 +:10152000A2FEFF284B7BB2FEFF28047BBA204A7B39 +:10153000FEFF20047ADDE5E14FE5DDE1DD7E04CB51 +:10154000572036CB472029E5C5DDE5CD9D15CDFAE1 +:101550008ACD231C201F0CCD231C2019CD7C150DFA +:10156000CD7C15DDE1C1E13AFD8ADD7704CD404354 +:101570003E01B7D7C9DDE1C1E1AF18F73E04CDE9BF +:1015800018C8CD952FC9CFDF200ECDFA8ACDA6156C +:101590002806CD7A47AFD7C93E01B718F9E5237EB3 +:1015A0002346234EE1C9CD0E1CE602281C0CCD0EAD +:1015B0001CE602281411EE86195E160021040001B3 +:1015C0004683CD722F3E01B7C9AFC921868311056D +:1015D0000006100E007EB72815CF232346234E2386 +:1015E0007ECD751A77D7237E2BFE34C44043190C69 +:1015F00010E321868306100E007EB72808237E2B79 +:10160000FE34CC4043190C10F0C92116841105009A +:1016100006087EB72803CD3C161910F6211684065D +:10162000080E007EB72810E5DDE1DD7E04E6052822 +:1016300003CD3C16CDC643190C10E8C9DFC0CF233B +:101640007E2346234E2356FE3620037A182CFE377F +:1016500020067ACD4C1B1822FE3820067ACDFC1AC3 +:101660001818FE3920067ACDD11A180EFE3A200637 +:101670007ACDC91A18047ACDC11A77D7C9CDFF1609 +:10168000CF7EFE1F2007CDF716CD171A77D719107A +:10169000EFCDFF167EFE1F2003CD8D461910F5C934 +:1016A000CDFF16CF7EFE0C2005CDED441844FE0D77 +:1016B0002005CDFE44183BFE0F2005CD41451832D4 +:1016C000FE1C20153AC98AE6072027E5CDF716CD7E +:1016D000641877E1CD06461819FE1D20153AC98A0F +:1016E000E607200EE5CDF716CD6C1877E1CD404624 +:1016F0001800D71910ADC92346234E23237EC921D4 +:101700003E841105000610C921D68311040006107D +:10171000CF7EB72813237E2BFE212005CDE046186F +:1017200007FE232003CD0347D71910E4C9C5083E9F +:101730001DB83821CD1B1C201C0CCD1B1C20160CE9 +:1017400008FE02280DB72005CD771C1803CD6F1CAD +:101750002803AFC1C93E01B7C1C9C5F5CD4D1C288D +:1017600003F118F1F10CCD4D1C20EA18E5C53E132C +:10177000B938DFCD6F1C20DD04CD6F1C20D718D207 +:10178000C579FEFF28CF3E13B938C7CD441C20C50C +:1017900004CD441C20BF18BAC53E13B938B7CD7765 +:1017A0001C20B204CD771C20ACC35217CF3E13B916 +:1017B00038161E02CD0E1C57E60820057AE63020AA +:1017C00007041D20EFAFD7C93EFFB718F9C5083E83 +:1017D00013B9300608B72810180BCD1B1C200904BC +:1017E000CD1B1C2003AFC1C93E01B7C1C9E56F0CB9 +:1017F0000CCDCD17570D0D0D7DCDCD175F0CE1C96B +:10180000E56FC504047DCD2D1757C1057DCD2D177E +:101810005F04E1C9CF677ACD00187AB720087BB79B +:10182000280ECBCC180A7BB72004CB8C1802CB84B3 +:101830007CD7C93A3483B8C8D55778C608BA3810A7 +:1018400078D60830033F18085F7ABB38033E01B7EB +:10185000D1C9D53A34835778BAD1C9D53A358357E7 +:1018600079BAD1C9D51601CD7418D1C9D51602CD12 +:101870007418D1C9CFCB5F2030D5C5670CCD981770 +:10188000B7202104041520F5C1D1E50C7A8757D57E +:10189000C53E06CDE9182803CD952FC1D1041520EA +:1018A000EEE1183FC1D1CBDC7CD5C5670DCDAC17BF +:1018B000B7202904041520F5C1D1E50D7A8757D545 +:1018C000C53E07CDE918C1280BCD6019113483CD71 +:1018D0006C2F28ECD1041520E6E11807C1D1CB9C70 +:1018E0007C18967CD7C9F1AFC9F578FE1E30F77920 +:1018F000FE1430F2F1D5C5F5CB47281F50591D3ADB +:101900003483673A35836FCD6C2F280615CD6C2F45 +:101910002009213483F13E01B71842F108CD0E1C95 +:10192000E608283911EE86197ECB3FCB3FCB3F4FDF +:1019300008CB612010CB4F2824C569260011050073 +:101940000186831810CB572814CBA1C56926001136 +:101950000500011684CD722F3E01B7C179C1D1C9EE +:10196000E5C5F5113483CD6C2F20123A35833D3215 +:101970003583CD8A413EFFCD6E41F11823E5DDE18F +:10198000DD4602DD4E030DCDAC17B72806CD952FF1 +:10199000F1180DE5CDA31904CDA319E1F1CD6547EB +:1019A000C1E1C93E07CDE918C8CD6019C9E5C5DD5B +:1019B000E567CB5C28240DCD8017B72804CB94189D +:1019C000390C0C0C0CCDAC17B72804CB94182BE5B4 +:1019D000CDA31904CDA319E118200CCDAC17B7285D +:1019E00004CB941815CD001A04CD001A050C0C0C6C +:1019F0000CAFCDCD17B72802CB947CDDE1C1E1C996 +:101A0000E53E06CDE918280D232323237ECB57205E +:101A100004E1CB94C9E1C9CB47C8CF67DF202104DB +:101A200004CD431A200C050505CD431A2812CBCC52 +:101A3000180E050505CD431A2004CB8C1802CB8463 +:101A40007CD7C93E1DB83002B7C9CD1B1CC9CF26F3 +:101A5000003E02F5CD7F1CE6032808E6012803249A +:101A600018012504F13D20EB7CFE0220013DFEFE25 +:101A700020013CD7C9CF67DF20440C0CCD231C20AC +:101A800017C504CD231CC1200FCD7C1504CD7C15BA +:101A9000CB84CBD4CB9C1826CD4E1A2600CD8314F4 +:101AA0000D0D04043E02CD2D17B72802CB84050589 +:101AB000053E02CD2D17B72802CB8CCD9D147CD7C7 +:101AC000C9D51600CD1418D1C9D51600CD1418D11A +:101AD000C9D5C55F0C0CCD6D1720097BCB87CBD743 +:101AE000CB9F1815CB930D0DCD33183806CBC316ED +:101AF00001180216007BCD1418C1D1C9CF670C0C98 +:101B0000CD6D172008CB84CBD4CB9C183C0D0DCBCE +:101B100054201BCB4428171600CD33183809160162 +:101B2000CD5B18300216027CCD141867181BCD331C +:101B300018200ECBD4CBDC0DCD6D17280CCB941810 +:101B400008CB94CBC430D7CB847CD7C9CF672E00C9 +:101B5000CB542054CB94CBC4CB9CCD5B183802CB58 +:101B6000DC3E02CD00187AB7201B7BB7CAFA1B7D7A +:101B7000B7200ACD3318302FCBCCC3FA1BCD521867 +:101B800038F6C3F61B7BB728067DB7281A18677D81 +:101B9000B7200ACD3318300FCB8CC3FA1BCD5218A7 +:101BA000280230F4C3F61B2CCB84CBD4CB8CCD5283 +:101BB000183002CBCCAFCDED177AB720207BB72001 +:101BC0000CCD33183834CD5B18202F1887CD33183F +:101BD0003806CD5B18D2541BCB9CC3FA1B7BB7C213 +:101BE000541BCD3318DAF11BCD5B18CA541BDA54E1 +:101BF0001BCBDCC3FA1BCB84CB947CD7C9D5C5697E +:101C00002600480600111E00CD722FC1D1C9CDFD9E +:101C10001BE5D5119684197ED1E1C9E5CD0E1CE6F0 +:101C200030E1C9E578FE1E38053E01B7181479FE8B +:101C30001430073E04CDE9182803AF1805CD0E1C5B +:101C4000E630E1C9E5CD0E1CE680E1C9AF083E1DD6 +:101C5000B838183E13B9381308B72809E5CD0E1C5B +:101C6000E1E60A2004CD441CC9AFC93E01B7C9E56D +:101C7000CD0E1CE620E1C9E5CD0E1CE640E1C9E52C +:101C8000D5CD0E1CE601280611EE86197EB7D1E1EE +:101C9000C9010E0811AF1CCDDA1FC801120A11C10B +:101CA0001CCDDA1FC801150211CE1CCDDA1FC95098 +:101CB00055534820535441525420425554544F4E8A +:101CC000405B204153434949203139383640524521 +:101CD00050524F4752414D4D45442047414D4520BC +:101CE0005B2053454741203139383640D5C511F086 +:101CF0009CC57E47E6F0CB3FCB3FCB3FCB3F12139B +:101D000078E60F121323C10B78B120E5E129444D89 +:101D1000E111F09CEBC57E180A122313C10B78B1B8 +:101D200020F3C90600FE0F20068047237E18F680A8 +:101D300018E7AF321D8B321E8B11F09C7EB7C8475F +:101D400007380DCD5F1D237E121310FC23C33C1DED +:101D5000CBB8CD5F1D237E12132310FAC33C1DE5C3 +:101D6000C52A1D8B48060009221D8BC1E1C921F03F +:101D70009CF5ED4B1D8BCB38791F4FF1D5C5CD941C +:101D80001DC1D113D5C5CD941DC1D1FE02280413A8 +:101D9000CD941DC9EDA0EA9A1DC913FE0228F513C2 +:101DA00018F20602C5CDAD1DC10520F8C906107E8A +:101DB000CB3FCB3FCB3FCB3F121313232310F0AFCE +:101DC000012000ED42011000EBED42EB1800060887 +:101DD0007ECB27CB27CB27CB27EB4EEBB1121313B0 +:101DE000232310EC060818007ECB27CB27CB27CB6C +:101DF00027121313232310F0C9CD762CCD080ECD56 +:101E00009120CDB0353E0132E48ACDB51E212F4D53 +:101E1000CD321D3E02115096CD6E1D210A4ECD329F +:101E20001D3E02118098CD6E1D21F24811730006EF +:101E300023CDAA36CDAA3621F248117301CDAA3698 +:101E4000CDAA3621F248117302CDAA36CDAA3606A4 +:101E500001C5CD181F3AE48AB72842CD27221101C7 +:101E600002CD2A22CD911C3AE48AB72830CDAA1E91 +:101E7000202BCDE41EC110D9C5CD912021FEC12259 +:101E8000E58AAF32E78A3E0732CA8A3E063201C18E +:101E9000CD1E123AE48AB72804C1C3F91DC1AF327E +:101EA000E48AF7CD4026CDE41EC90680CD2A242041 +:101EB0000310F9AFC9CDE41E21000011000419017F +:101EC0000014AFCD8E01210020110004190100146F +:101ED0003E11CD8E0106082100003E3FEF0478FE42 +:101EE0000EC818F62E041E17CDFD1EEBCDFD1EEB01 +:101EF000CD2A242C2C1D1D7DFE1820ECC9CFCD0C25 +:101F00001F0620AFCD64012310F9D7C92600292967 +:101F100029292911001819C93E8AF73E0132CA8AB7 +:101F2000217A29CD751F280FEBCD7E1FEBCD2A24FA +:101F300028F1AF32E48AC9217A290E00CD4E1FC89C +:101F40003E0132CA8A21DE290E01CD4E1FC9CD7550 +:101F50001F280FEBCD7E1FCD2A242014CD931FEB1D +:101F600018EC2B2BCD751FEBCD7E1FEB3E01B7C9B7 +:101F7000AF32E48AC97EFE80C856235E23C9C5D528 +:101F80001673E5E5CDAB1FE13E078467CDAB1FE1DE +:101F9000D1C1C979B72006C5D5160018E5E5C53EFB +:101FA00004856F060ECD8834C1E1C9010507E5C57A +:101FB000E5C57DFE043812FE18300E7CFE20300987 +:101FC000D5CDD33CD17ACD6401C1E17AB7280114D3 +:101FD0002410DDC1E12C0D20D5C9261D69CDF91FC6 +:101FE000CD2A24200FCD1A20257CB820F0CDF91F52 +:101FF0003E01B7C9AF32E48AC9CF0E0106001AFE0E +:102000004028157CFE20300C79B728031A18023EB0 +:1020100020CDA834132418E6D7C9CF0E0018DDAFA1 +:1020200032228B21B55D11108ECD3C1D21806311B4 +:102030001096014000CDEC1C2152481100C2010055 +:1020400001CDEC1CFBCDE200CD2D010E0106E2CD51 +:10205000D90021224A22D78A210018010003AFCDDE +:102060006401230B78B120F6ED5B1E4A2A204AB7A3 +:10207000ED52444DEB110038CD56010603AF212E31 +:1020800083772310FC3E071E98AF32DBF332E48ADD +:10209000C93E053224833E703220833E06323383AC +:1020A0003E013221833E0732CA8A3E063201C13EDA +:1020B000003222833E11322383AF32238B321B8BBB +:1020C0000609AF212583772310FC32318332328316 +:1020D00032E88A321A8B210080110180010C00360F +:1020E00000EDB0210D80110E8001C50236FFEDB06C +:1020F000060021FF003E3FEF0478FE0320F4210F8D +:10210000003E3FEF0478FE0620F4CD6E22CD5D2226 +:1021100021D24ECD321D3E02116096CD6E1D21C6DC +:102120004BCD321D3E02112098CD6E1D21B05CCDED +:10213000321D3E0211E099CD6E1D21A84FCD321DFA +:102140003E0211F099CD6E1D21CC4FCD321D3E02C5 +:1021500011309ACD6E1D21E04FCD321D3E0211503F +:102160009ACD6E1DCD3E22210449110D000601CDF0 +:10217000AA3621F648061CCDAA3621F048CDAA364B +:102180002106490601CDAA3621FE48060ACDAA3607 +:1021900021F8480604CDAA360602CDAA36CDAA36C5 +:1021A000210049061ACDAA36CD27222100110104AB +:1021B000073E0ECDC2363E2ACDA0222100010101EC +:1021C000033E52CDC2362100090101043E51CDC269 +:1021D000362102010101023E55CDC2362103010123 +:1021E00001023E57CDC2363AE48AB720223A218313 +:1021F000CB5F2805CDBB35B7C9210113112C000ECB +:10220000590601CD99342C113400CD9934B7C92128 +:1022100001121170290E590601CD993421021311B2 +:102220007529CD9934B7C9110101CD3E222100494C +:10223000061CCDAA3621FE48060ACDAA36C9D521EC +:102240004450CD321D3E0211709ACD6E1D21F44FC7 +:10225000CD321D3E0211309CCD6E1DD1C9CF21A4BF +:102260005CCD321D3E02115096CD6E1DD7C9219016 +:102270005CCD321D3E0211D09CCD6E1D2102495E07 +:1022800023562101000606DD211640CF292929DD2C +:102290007E00CDDE22CDDE22D7DD23232310ECC944 +:1022A000F50E33B720020E3F7921FFC00606EF7905 +:1022B000210FC004EFF1F50E46B720020E00792180 +:1022C000001F0604F5E5C5CDD33CCD6401C1E1F1A5 +:1022D0002C10F1F1210018010407CDC236C90608FF +:1022E000F5CD213FF110F9C9AF32228B3E0132E426 +:1022F0008AAF320D8B32158B3E8CF73A24833236FF +:1023000083CD9430210D0901030ECD88342C0D208E +:10231000F9210E0B118D36010102CD99343E303278 +:10232000218B3E0232158BAF321F8BCD2A24212107 +:102330008B3520F73A208BB7281AFE012005112192 +:10234000031803112C03210E0B010102CD99343E19 +:1023500060CD0C28C9B7C8F5CD59333A2183CB5F7E +:10236000280BCDFD330E073A2083CD24363AE28A7E +:10237000B7280D210D80110E8001D1003600EDB07F +:10238000F1F5FE0120103A2083D610273220833E3B +:10239000113235831838FE03200F3A2083C60127F7 +:1023A000322083AF3234831825FE05200F3A208374 +:1023B000C61027322083AF3235831812FE07200E55 +:1023C0003A2083D601273220833E1C3234832134C5 +:1023D00083112283011200EDB03A2183CB5F2808DC +:1023E0000E0F3A2083CD2436F1C9C53AC98A4FCDA4 +:1023F000BB01B72807CB41200332CC8A3AE48AB725 +:10240000200DCD9D01B72807CB41200332CB8A3A5E +:10241000158BFE0120123A0D8BE630280B3EFE3262 +:10242000D58A3AE48AB72800C1C9CF3ACA8ACD0C06 +:10243000283AE48AB728403AE88AB7283ADF2037B2 +:102440002AE58A3AE78AB72008232322E58A237EF1 +:102450002B3D32E78A7EE60F32CB8A7ECB6728049B +:102460003EFF1801AF32CC8AD51100C3CD6C2FD1FD +:1024700038053E0132E38A21CD8A06097EFEFF201F +:10248000042310F8AFD7C9AF32228BCD9926211380 +:10249000000E011600E7247CFE1E20F7DD21EE2948 +:1024A000DD4E003EFFB928183A2083B920243A3483 +:1024B00083DD4E01B9201B3A3583DD4E02B920126F +:1024C000DD6E03DD6604CDEF14CDFA8A3EFF3222C5 +:1024D0008BC9010500DD0918C7AF32228B3ACA8AC1 +:1024E00032168B3E0732CA8A3E063201C13E8DF754 +:1024F00021368334CD99262100000E02CDFB252103 +:102500000100111200CD0D2621011C110D00CD0D71 +:1025100026210E14E50E02CDFB25E12C25252525CF +:102520007DFE1420EF210C190E201600CDF5423E41 +:10253000023234833E11323583CD8A413E06CD6E60 +:102540004121BA2B22FE8A21150022058BAF3207CA +:102550008B32E38A21960022088BCD2A24CD552880 +:10256000CD3B2921C98A343AE38AB728EDCD2A2404 +:10257000CD552821C98A347EFE5338F1CD4026211D +:102580000C190E001600CDED423E2CCD3126CD2A81 +:10259000243E2DCD3126CD2A243E2ECD3126210AB2 +:1025A000173E3B0608CD24262424CD24262C2C259A +:1025B00025CD24262424CD2426210E180104043EF2 +:1025C0009FCDC236CD2A243E3F210000CD3426CDFA +:1025D0004F260640CD2A2410FBCDCD37AF32E38AFB +:1025E00021112C22FE8ACD2A24CD3B293AE38AB739 +:1025F00028F43EA0CD0C28CD0C28C97DE60157E774 +:10260000247AEE0157E7247CFE1E20EFC9ED53DD4E +:102610008A0E06E5D5CD683ACD963AD1E12C1B7AE3 +:10262000B320F0C9E5F5C5CD9241C1F1EFE13C041D +:10263000C9210C17060CCD24263D2424CD2426C9FF +:1026400021000006083E3FEF0478FE0B20F7C92169 +:1026500007183EAF32FD8ACD7E262D7DFE0320F782 +:10266000210718AF32FD8ACD7E262D7DFE0420F78E +:102670000603CD2A2410FB210418CD7E26C9E5E5EA +:10268000CD8D26E124CD8D26CD2A24E1C9444DCD22 +:10269000C33C3AFD8ACD6401C9CDA437CDCD37CD39 +:1026A0002722113809CD1828110102CD2A2211380C +:1026B00011CD1828210849119F010610CDAA36CD49 +:1026C0005D222104490601CDAA36210849119F0245 +:1026D0000610CDAA363EAF32678A32688AAF32C959 +:1026E0008A32D68A32E38A324483324383C93ACA71 +:1026F0008A32168B3E0732CA8A3E063201C13E8BB1 +:10270000F73E053234833E11323583CD8A413E0691 +:10271000CD6E4121FD2922038B212C2B22FE8A2103 +:10272000150022058BAF32078B215B2C22088BCD45 +:102730002A24CD2B28CDB228CD3B2921C98A343A71 +:10274000E38AB728EAAF32E38ACD2A24CD2B28CDFD +:10275000552821C98A343AC98AFE7F38EC3E90CD8B +:102760000C283A168B32CA8A0607B83E0628023E63 +:10277000043201C1C93A2183CB5FCAEE263ACA8A24 +:1027800032168B3E0732CA8A3E063201C13E8BF7B3 +:10279000CBCF3221833E053234833E11323583CD97 +:1027A0008A413E06CD6E4121832A22038B21692B6B +:1027B00022FE8A21240022058BAF32078B215B2C5D +:1027C00022088BCD2A24CD2B28CDB228CDEC28CDC4 +:1027D0003B2921C98A343AE38AB728E7AF32E38A32 +:1027E000CD2A24CD2B28CD552821C98A343AC98A2F +:1027F000FE7F38EC3E90CD0C283A168B32CA8A0602 +:1028000007B83E0628023E043201C1C9E521118BFA +:1028100077FB763520FBE1C901C00321EE0FCD56D1 +:1028200001CBEC01C0033EF0C38E01CD4028ED5337 +:10283000038B0E001600CDED42CD4028CD5128C9A6 +:102840002A038BCD751F2007235E2356EB18F4EB6C +:10285000C9CFC3E4462A088B7EFEFF200A235E23ED +:1028600056ED53088B18EEFEFE2009CD8A413E0638 +:10287000CD6E41C9DF200D7E32CB8A237E32CC8AD9 +:102880002322088BCD4513CDA1413A3483473A35F5 +:10289000834FCD0E1CE604C8DFC060690E00160031 +:1028A000CDED422138833421268334CD3E30CD2AEC +:1028B00024C93A078B3C32078B2A058B4EB9D89135 +:1028C000FE04D02356235EEBFE03280EF5CD924185 +:1028D000F14F3E2E91060CEF1811E52100003E3F0E +:1028E000060CEFE10E2B1600CDF542C93A078B21FD +:1028F00027004EB9C03E99F50E09CD2436CD2F29BB +:10290000F1D61030F20E630699C5C579210080CD4D +:102910001833C10E04B728020E0778CD2436CD2F08 +:1029200029C178D6104779D60A4FFE0920DBC9C5E0 +:102930000E20060010FE0D20F9C1C92AFE8A7E2352 +:1029400022FE8AFEFE20073E0132E38A1821FEFDA8 +:10295000200D56235EED53008B2322FE8A18DCF5F2 +:102960002A008B2422008B25CDD33CF1CD6401C9F4 +:1029700044454D4F4047414D4540FD001500151859 +:10298000FD18FE0114011417FE17FF02130213169F +:10299000FF1600031203121500150104110411148F +:1029A0000114020510051013021303060F060F127F +:1029B000031204070E070E11041105080D080D106F +:1029C000051006090C090C0F060F070A0B0A0B0E5F +:1029D000070E080B0A0B0A0D080D090C8080090C64 +:1029E000090B090A090909080907090680809918CD +:1029F0000EEE26500C067527FFFFFFEE261A031970 +:102A000003180317031603150415051606170718F0 +:102A10000719071A061B051B041A031903180418C3 +:102A2000051706160715081409130A120B110C10C6 +:102A30000D0F0E0E0E0D0E0C0E0B0E0A0E0A0E0AC8 +:102A40000E0A0E0B0E0C0E0D0E0E0E0F0E100D11AB +:102A50000C120B130A140915081607170618051887 +:102A60000480642A170316031504150516061707B4 +:102A7000180719071A061B051B041A031903180364 +:102A800080642A1B031A0319031803170216011581 +:102A90000015001500150014001301130213031490 +:102AA000041505160517051805190519051A051A3F +:102AB000051B041A04190419041804180417041730 +:102AC000041604160415041605170517051804192D +:102AD000031A031B041B051B051A061A06190718FF +:102AE000081709160A150B140C130C120D110D10F2 +:102AF0000D0F0E0E0E0D0E0C0E0B0E0A0E0A0E0A08 +:102B00000E0A0E0B0E0C0E0D0E0E0E0F0E100D11EA +:102B10000D120D130C140C150B160A1709180818B2 +:102B200007190619051904180380642AFD03082BE8 +:102B3000484D3C2D276363FD03092E4A2C3D632B32 +:102B40002F2C56604C6363FD030A33342B4E433500 +:102B50002763636363FD030B382C363A3D633A2FDA +:102B6000293B633052332C27FEFD03082E404433AB +:102B7000433F286339503E632B2F2CFD0309344912 +:102B8000343E6342463D283C474B4A3B2C43352765 +:102B9000636363FD030A3F4630283835313B2B4ED3 +:102BA0003B3052332C276363636363FD030B2B2F8E +:102BB0002C56604563532D5127FEFD040A5A5D5F74 +:102BC0005C2A5840446363FD040B5B5954555D4DCA +:102BD000FD040C3835313DFD040D432C4843343899 +:102BE0002763636363FD0F082B2B632E2D4F334345 +:102BF000286363FD0F09323E404D30493E4CFD0FC6 +:102C00000A4339324D4A3BFD0F0B2E4843343827D7 +:102C1000FEFD050837343B634138483F2863342BB9 +:102C20004B363D6330473443343827636363FD0AD2 +:102C30000F146308630563636305630E63046363D2 +:102C4000636363636363FD081362575E2A616363B2 +:102C500006010912196314010C05FE0301030103A7 +:102C60000103000300030007000700070007000737 +:102C7000000700FF9100AF21001B018000C38E01FF +:102C8000CFDFCA6B2D3AE08AB720273A4383B7C219 +:102C90006B2D3A3483473A35834FCD6D2D20130485 +:102CA000CD6D2D200D0CCD6D2D200705CD6D2DCAC0 +:102CB0006B2D3ACA8A32168B3E0732CA8A3E0632DA +:102CC00001C1AF32C98A0610C5CD2A242600CDAE77 +:102CD0002D21C98A343A238BFEFF28F9C178FE10D2 +:102CE00020033E83F710E1CD2A24AF32CB8A32CCC9 +:102CF0008ACD45137CCB5728103A3583FE12300914 +:102D0000CDAE2D21C98A3418DECD8A413E0DCD6E5F +:102D1000410620CD2A2410FB21248335213683351A +:102D20003A168B32CA8A0607B83E0628023E04329B +:102D300001C13AE08AB720333C32E08A3AE48AB7EC +:102D400028053E0132E38A213683112483010D00D8 +:102D5000EDB03A3183B72805C6043231833A328365 +:102D6000B72805C604323283CD5933D7C9C579FE99 +:102D7000143803AF182CCD0E1C57E68020247AE6B9 +:102D800010281F11EE86197EE607573AD68AFE02F2 +:102D90003806FE113002CB923A4483B72802CB8A20 +:102DA0007AB7C1C9E5D53E0132CA8AD1E1C97BFEF5 +:102DB0000420143A128BFE023E0032128B28083E89 +:102DC00085F73E40CD0C28CB542015CD8A413AC919 +:102DD0008AE60320043E071803060980CD6E41C928 +:102DE000DF20E83A34833C878787673A35833C3273 +:102DF0003583C603873C87873D6F18D2DFC03A34DE +:102E000083473A35834FCD0E1CE604C8DD21D683B7 +:102E100050590610D5C5DDE5DD6602DD6E03CD6CCB +:102E20002FC2062FDD7E01FE232803CD262FFE2094 +:102E30002019CD142FCDD924212183CBD63E0132A8 +:102E4000E38ACDE41ECD2722C3062FFE212012CD1A +:102E5000142FCD8724CDE41ECD2722CDDC36C3062A +:102E60002FFE222016212183CBDE21001101040731 +:102E70003E0ECDC236CDBB35C3062FFE23201B3AF6 +:102E80004383FE0ACA062FFE003E0A32438320080F +:102E9000AF3244833E82F776186CFE2420153A1A2E +:102EA0008BB7200D3E10324483AF3243833E84F70C +:102EB000761853FE2520073E0132E28A1848FE2686 +:102EC0002005CD8730183F4FD627F55F1600CD92ED +:102ED00041E5215D00197EE1060DEF3E1032F98AD1 +:102EE000F1875F1600219836195E2356CDC92F79D8 +:102EF000FE2A3812D62A5F1600213783197EFEFF7C +:102F0000280434CD3E30DDE1010400DD09C1D105E6 +:102F1000C2142EC9CDB51E213483112283011200A3 +:102F2000EDB0CD5933C9F5E5F5AFDD77000E0016EC +:102F300000CDED42F1FE2120082100003E3F060BAE +:102F4000EF3E87F7CD2A24E1F1C9E5DDE12100005C +:102F5000FD2100000610DD29ED6AFD29CD6C2F381A +:102F600004ED52FD2310EFEBFDE5E1C97CBAC07D15 +:102F7000BBC9C53E10444D210000CB23CB12380FF6 +:102F80003D280F18F53D280A29CB23CB1230F6092E +:102F900018F3C109C9E5C5CDDD42E5AFDD77004FC6 +:102FA00057CDED42E1CDAB2FC1E1C93E86F7CD92C1 +:102FB000413E2CF5060CEFCD2A24F13CFE2F20F3E8 +:102FC0003E3F060C210000EFC9C5213F837E8327C9 +:102FD000772B7E8A27772BDC87307ECE00277711F0 +:102FE0004083213D8306031A4EB93806200F132370 +:102FF00010F5213D83114083010300EDB0CD023077 +:10300000C1C9213D83112200CD1530214083112AF1 +:1030100000CD1530C90603CD203013132310F8C995 +:10302000CFAFED6F47ED6F4FED6FEB1100181978D3 +:10303000C647CD64012379C647CD6401D7C91100C5 +:1030400018214300191137830E01060E1AD5E5C564 +:103050006F2600110500CD4A2FC155141CE115281B +:103060000979CD64012310F6180B0C1D280979CDC0 +:1030700064012310F6D1C9D10C1379FE0D20CDAF18 +:10308000CD64012310F9C9F5E52136837E34CD9452 +:1030900030E1F1C9110018216300193A3683B7C82D +:1030A0003DFE0E38023E0E4F0C060E0D28D13E0D91 +:1030B000CD64012310F5C9E579FE1B301DE5874F6E +:1030C000060021C0470956235EC1CDFD1B01968431 +:1030D00009720158020973C34F31FE1F3018D61B05 +:1030E000E5874F060021F647097A5E2356EB874FA6 +:1030F000060009C3C6302004D60618C1FE303005CC +:103100003E04C3E030FE40303A7B8787875FCBBB0D +:1031100079FE35300616A8E5C3C930200F7AFE02C5 +:103120003006CBC3161818EF16A818EBCBFBFE36EB +:10313000200B7AFE023006CBD3163818DACBC31632 +:103140003818D4FE55300416A018CC16A218C8E1C1 +:10315000C9F5E5C547FE30DAD931FE3630393A9245 +:10316000845F3C3292841600CF210500018683CD16 +:10317000722FE5CDD7322911DF8019DDE1C1D17B76 +:10318000CD1833E1DD7700DD7001DD7402DD7503FC +:10319000DD360400C30B323A94845F3C32948416CB +:1031A00000CF210500011684CD722FE5CDD7321155 +:1031B0006F8219DDE1C1D17BCD1833E1DD7700F5F8 +:1031C000DD7001DD7402DD7503CB6920043E01185A +:1031D000023E03DD7704F118323A93845F3C329368 +:1031E000841600CF21040001D683CD722FE5CDD700 +:1031F000322911A78119DDE1C1D17BCD1833E1DD81 +:103200007700DD7001DD7402DD7503C1E147F1C9AE +:10321000E5D5D53A91845F3C3291841600E5E521ED +:103220000400014683CD722FE5DDE1E17CCD503213 +:10323000CD1833E1D1DD7700F51D3ADF8A87878726 +:103240008783DD7701DD7402DD7503F1D1E157C9B4 +:10325000FE00200C3A8E841100003C328E84181A35 +:10326000FE1C200C3A8F841102003C328F84180A15 +:103270003A90841101003C3290843DF5CDF732192B +:10328000110D8019F1C9F5CFF5D53A95845F3C321F +:1032900095841600E5210500013E84CD722FE5DD01 +:1032A000E1E1D1F1DD7700DD7401DD7502DD73034D +:1032B000FE0C20043E031819FE0D20043E011811D7 +:1032C000FE1C3003AF180AFE1F30043E0418023EF5 +:1032D00001DD7704D7F1C9D5C53A20834FCB3FCB69 +:1032E0003FCB3FCB3F5F1600210A0079E60F4F0628 +:1032F00000CD722FC1D1C9D5C53A20834FCB3FCB6A +:103300003FCB3FCB3F6F260011150079E60F874F6B +:103310000600CD722FC1D1C9CFCD2933047E17103D +:10332000FD3E0030023E01D7C947CB3FCB3FCB3FEC +:103330005F16001978E60747C9CFCD29333E0890BC +:103340004779B728091F1710FD4EB17718093EFEBF +:103350001F1710FD4EA177D7C9AF328E84328F84EC +:103360003290842146831104003A9184B728104793 +:10337000CF4E23237ECD5032CD3933D71910F121D2 +:1033800086831105003A9284B7281E47AF32928493 +:10339000CF4ECDD7322911DF80193A92843C329238 +:1033A000843DCD3933D71910E721D6831104003A73 +:1033B0009384B7281E47AF329384CF4ECDD732299E +:1033C00011A781193A93843C3293843DCD3933D788 +:1033D0001910E72116841105003A9484B7281D4777 +:1033E000AF329484CF4ECDD732116F82193A948484 +:1033F0003C3294843DCD3933D71910E8C9CDD7324A +:103400007D0E01210080CD3933C9F5CFCB4128385D +:1034100059444DDF20160DCD7F1C2806E6102802EA +:10342000180A04CD7F1C2820E610281C0E04CB4B64 +:1034300020020E0C213E8411050006107EFE1B208A +:103440000479CD78451910F4D7F1C921F98A7EB7EE +:10345000280D3D77B720082100003E3F060DEF3ACA +:10346000C98AE60FC0214383CD7234214483CD72D3 +:1034700034C97EB7C83D77FE06D0B72801C93A1ACD +:103480008BB720033E81F7C9E5C5E5CDD33CAFCD71 +:103490006401E12410F4C1E1C9CF1AFE402807CD30 +:1034A000A834132418F4D7C9FE202003AF180BFE4C +:1034B0003A3004D630C65DD64181CFCDD33CCD6401 +:1034C0000178B72805CD2A2410FBD7C93AE48AB77A +:1034D000281721D58A7EFEFE2801C93EFF32228BA5 +:1034E000AF32E48A3C32E38AC93AD38A4FDF2811EB +:1034F000CD3A352000CB7120083E0132248332E0E2 +:103500008A3A148BB720063E07060618043E0206C8 +:103510000432CA8A3A4383CD2E353A4483CD2E35C0 +:10352000783201C13AD48A4FCB49CC7A35C9FE01F1 +:103530002805FE022801C90518FCE5D5F5F33A0D6A +:103540008BE630FE302809AF32128B32138B1825F0 +:103550003A128BB728173A138BB720193E0132E085 +:103560008A3EFF32138B3E0232128B180821128BD7 +:1035700036012336FFFBF1D1E1C9C53AE48AB72011 +:103580002A3AE38AFE0128053E003200C1CD2A24F2 +:10359000AF32CB8A32CC8ACDB035CD2A24200C3A3A +:1035A000CB8AB720063ACC8AB728EFCD8037C1C97D +:1035B00021CD8A060936FF2310FBC93E0221FF9068 +:1035C00006000E2FF5E511001079EF197904EF19B7 +:1035D0000C7904EFE11110FF19040CF13D20E521F5 +:1035E0000000E5E54C06002600110A00CD722F7D93 +:1035F000210080CD1833E10E04B728020E07CD3626 +:1036000036247CFE0A20DCE12C7DFE0A20D43A2000 +:10361000830E0FCD24363A2183CB4FC83E090E09C5 +:10362000CD2436C9E56FCB3DCB3DCB3DCB3DE60F41 +:1036300067CD3636E1C9E5C57D8785C6026F0602CE +:10364000E5C5C57C4FCB3FC60F677DE6075F16001B +:10365000CB3DCB3DCB3D7D87858785846F2600297B +:103660002929191100201979C1CB47200FCB21CB73 +:1036700021CB21CB21CD6E01E60F1805CD6E01E6E1 +:10368000F0B1CD6401C1E12C10B6C1E1C947414D93 +:103690004520204F56455240000800040002000417 +:1036A00000020001500020001000E5C5D55E235641 +:1036B000E1E5292929CD303FE12310F5EBC1E123D4 +:1036C00023C9C5E5F5E5CDD33CCD6401E1F1B728CB +:1036D000013C2410EFE1C12C0D20E7C9AF32228B51 +:1036E000CD4026CDA437210A495E2356EBCD321DAD +:1036F0003E02115096D5CD6E1DD1210000D5CD30A2 +:103700003FD1210008D5CD303FD1210010CD303F31 +:10371000CDCD37210000060B3E3FEF060D3E3FEFBB +:10372000011100212283113483EDB0CD0230CD3E52 +:1037300030CD94303A20835FE60F87874F0600CB69 +:103740003BCB3BCB3BCB3B1600212A00CD722FE578 +:10375000CDE937CD0238E123232323E5CDE937CD69 +:103760001638E12B2BCDE937CD7938CD8A413A3364 +:1037700083CD6E413AE48AFE0128053EFF32228B5A +:103780003A4383B728043E82F7C93A4483B7280AEC +:103790003A1A8BB720033E84F7C93A1A8BB7200335 +:1037A0003E81F7C9214689114789011F013600ED85 +:1037B000B0214683114783014F013600EDB03E72C0 +:1037C00032668A3E1A32678AAF32688AC911001897 +:1037D00021800019AF018002CD8E012196841197BE +:1037E0008401AF043600EDB0C9D5E5ED5BD78A1989 +:1037F0005E2356E17AB3200711224A195E2356EB65 +:10380000D1C9AF32DB8A32DC8A22D98A2100002278 +:10381000DD8ACD1E38C93E1C32DB8AAF18E82AD9B2 +:103820008A7E4FE6073C5F1600FE08CC843BED53D2 +:10383000DD8A2AD98A2322D98A79CB3FCB3FCB3F55 +:103840004FFE07200B3ADB8AFE1C20110E08180DD4 +:10385000FE0820093ADB8AFE0020020E0779CD6CB3 +:10386000383ADB8AFE02C8FE1EC818B2B72805FE29 +:1038700010DA7039E60FC3B8383E0232DB8AAF3255 +:10388000DC8A22D98A21000022DD8ACDAA383E02B4 +:1038900032DB8AAF32DC8A21000022DD8ACD623938 +:1038A00021000022DD8ACD963BC9CD5F3BCDB838E3 +:1038B0003ADC8AFE14C818F24F3ADB8A673ADC8A8F +:1038C0006FED5BDD8A79FE0C3807FE0E2803CD868E +:1038D00032B720140E00D579B7C4843ACD1E3CD13E +:1038E0001B7AB320F1C36139FE04301D32DF8AD563 +:1038F0007DE60157E7247DE601EE0157E7CD1E3C4A +:10390000D11B7AB320E9C36139FE073005D6034FD6 +:1039100018C4FE093016D6074FD5CD683ACD963A71 +:10392000CD1E3CD11B7AB320F0C361392006D605E9 +:103930004FC3D638FE0C3019D51600E724E7252CE6 +:103940001601E724E7CD1E3CD11B7AB320EAC36100 +:1039500039FE103006D60A4FC31939D60B4FC3D6DD +:1039600038C9CD5F3BCD70393ADB8AFE1CC818F2EE +:103970004F3ADB8A673ADC8A6FED5BDD8A79FE0CB1 +:10398000380BFE0E2807C610CD8632D610B7200D94 +:10399000D5CD473CD11B7AB320F6C3673AFE05303C +:1039A00013C6044FD5CD843ACD473CD11B7AB32002 +:1039B000F3C3673AFE0520153C4FD5CD683ACD9646 +:1039C0003ACD473CD11B7AB320F0C3673AFE0620BC +:1039D000337BFE012825CDC73ACD1032CDE23ACD5A +:1039E000473CCD0C3BCD473CCD0C3BCD473C3ADB77 +:1039F0008AB72807FE1C2803C3673ACD213BCD4771 +:103A00003CC3673AFE0B3006C6024FC3A4392047B9 +:103A1000E5D543052C10FDE5444DCDC33CCD6E01ED +:103A2000E1B72007F53E1BCD8632F1D1E1B728047E +:103A30000E0B18020E07F5D5CD683ACD963ACD4754 +:103A40003CD11B7AB320F0F1B7C2673A2C2C2C0E74 +:103A50000BCD843AC3673AFE0F3006D6044FC3BA83 +:103A600039D6034FC3A439C9E52ADD8ACD6C2F208E +:103A700003AF180E210100CD6C2F20043E02180266 +:103A80003E01E1C9C5E5692600292911374019EB36 +:103A9000E1CDB33AC1C9C5E5060069260029092967 +:103AA00029116B4019EB26006F292919EBE1CDB3E1 +:103AB0003AC1C9E5EB4E23562346237EE1CDC13AF8 +:103AC000C9E7244857E7C9E5D52DCD2A3BFE043088 +:103AD0000632DF8AD1E1C93ADF8AE60320F33E03EA +:103AE00018EFD5D53ADF8AC63F4F1600E7CD3243EF +:103AF00025D17AB728143ADF8A3D4F8781874F7BDB +:103B000081C6414F1600E7CD3243D1C97AB7C8D537 +:103B10007BC6534F16003A91843D5FE7CD3243D1C7 +:103B2000C90E161600E7CD3243C9CF7DFE0430051D +:103B3000114689180CFE0C300511A68918031106D0 +:103B40008A444DCDC33CCD6E0106034F131AB728EE +:103B500007B928063CB9280210F23E0490D7C92ABA +:103B6000D98A7E4FE60F3C5F1600FE10CC843BEDF9 +:103B700053DD8A2AD98A2322D98A79CB3FCB3FCBFE +:103B80003FCB3FC92AD98A2322D98A7E6F260019C2 +:103B9000EBFEFF28EFC92AD98A7EB7C84FE60F8708 +:103BA00032DB8A2AD98A2322D98A7E47E61F32DC71 +:103BB0008A79CB3FCB3FCB3FCB3F4F78E6E0B1CDCF +:103BC000CB3B2AD98A2322D98A18CB4F3ADB8A6782 +:103BD0003ADC8A6F79E60FCB712804C6201802C63A +:103BE00030CD51310878B7C808FE362821FE232889 +:103BF00026FE21200CF5CFCD92413E2A060BEFD7B1 +:103C0000F11600CB69280216044FCDF542C94F2C9E +:103C10001600E7CD3243C94F1600CDED42C93ADB5D +:103C20008AFE002822FE1C281E3C3C32DB8AFE1C39 +:103C3000200C3E0232DB8A3ADC8A3C32DC8A3ADBF8 +:103C40008A673ADC8A6FC93ADC8A3C32DC8AFE1425 +:103C500020ECAF32DC8A3ADB8A3C3C32DB8A18DE6D +:103C6000F5E5C5D57DFE1430557CFE1E3050C5CD22 +:103C7000B730444D79FE04300D214689DD21668A36 +:103C8000FD210000181CFE0C300D21A689DD2167E6 +:103C90008AFD210001180B21068ADD21688AFD2199 +:103CA0000002D17BFE0D20021E0C1600197EB720EB +:103CB00004CD673D7ED1D582CDC33CCD6401D1C159 +:103CC000E1F1C9F5D5C5046079C6046FCDD33CC117 +:103CD000D1F1C9D55C1600260029292929291911F5 +:103CE000001819D1C9DD7E00C601DD7700C9CFF506 +:103CF0002100C31110C373237223FD7E0277FD667A +:103D000001FD6E00CD321D1110C33E02CD6E1DF1BE +:103D1000FE2F384DFE3628492110C31150C3FE37FF +:103D20002012011000EDB02140C31180C30110002A +:103D3000EDB01824FE382007012000EDB01819FE60 +:103D40003920021804FE3A2007014000EDB018089F +:103D5000FE3B2002180218062150C31190C3CDA2C9 +:103D60001DFD2100C3D7C97BB7C8E5C5B7ED52E536 +:103D7000FDE5E1D5FDE1FD29FD19010A49FD09CD6A +:103D8000EE3C7BD1FE40D2E03DFE30D2F73DFE2A34 +:103D9000D2BA3DFE1BDA9D3DFE1FDAA33DCDF63EB5 +:103DA000C30F3E0603210000114689DD21668ACD3E +:103DB000F63ECD123E10F8C30F3ECD0C3FEB211660 +:103DC00040D62A4F0600094EEBCDB23E0604CDE5A3 +:103DD0003CC5060879CD213F10FAC110F1C30F3E52 +:103DE000CD0C3FEB211C40D6404F0600094EEBCDD9 +:103DF000B23E0602C3CE3DFE3628A20603210000D5 +:103E0000114689DD21668ACD213ECD123E10F8C1D2 +:103E1000E1C9C501000109EB01600009EBDD23C127 +:103E2000C9F5CFCD0C3F08CD553E08FE36381D08EC +:103E3000CD633ECD703ECD783ECD823ECD883E08EE +:103E4000FE3A300ECD913ECD993E1806CDA23ECD24 +:103E5000AA3ED7F1C9CDB23E0604CDE53CCD303FF8 +:103E600010F8C9CDB23EEBCD593FEB11698A18E885 +:103E7000CDB23ECDB93E18E0CDB23ECDC23E060633 +:103E800018D8CDE03EEB18E3CDEB3EEB11698A1874 +:103E9000EDCDC83ECDC23F18F3CDE03ECDC23FEBE5 +:103EA00018EACDB23ECDB93E18D4CDDA3ECDC23FF0 +:103EB00018DAFD5E00FD5601C9C5014000EB09EBB3 +:103EC000C1C9C501800018F5CDB23ECDB93EE5EBC4 +:103ED00011698A014000EDB0E1C9CDB23EC3CE3ECA +:103EE000CDB23ECDB93EEBCD593FC9CDB23ECDC2EC +:103EF0003EEBCD6C3FC9F5CFCD0C3FCDB23EFD467C +:103F000002CDE53CCD303F10F8D7F1C9F5EB4F06B7 +:103F10000009EBDD7E003C124F060009292929F13A +:103F2000C9C5081ACD443F1308CD4B3F2313C1C95F +:103F3000C50608C51ACD443F131ACD4B3F2313C104 +:103F400010F1C1C9010000CD523FC9010020CD527E +:103F50003FC9E509CD6401E1C9CF11698ACD7F3F31 +:103F600001200009EB09EBCD7F3FD7C9CF11698A4A +:103F7000CD9C3F01300009EB09EBCD9C3FD7C9D563 +:103F8000011000EB09EB0602E5C5CDA73F011000CB +:103F900009B7EBED42EBC110F0E1D1C9D50120002A +:103FA000EB09EB060318E1E5D50608C54EAF060898 +:103FB000CB391710FB1223137E122313C110ECD13F +:103FC000E1C9E5D521B18ACDE53F060421998AC52D +:103FD000E5CDFA3FE111F0FF19C110F321698ACD57 +:103FE000E53FD1E1C91108000E020604AF77233E78 +:103FF00011772310F7190D20F1C9E50108000954C4 +:104000005D01180009EB010800EDB0E1545D010805 +:104010000009EBEDB0C95181D12171B1F18171F588 +:10402000F8FDF2F7FB85688D82878B75787D725776 +:104030007B5181D12171B100000000040004010016 +:104040000005000600000009000900110001010040 +:1040500000110001011300130000001700170118E0 +:104060000018011B051B061F001F010700070107A1 +:104070000107010701070200000800080108010804 +:104080000200000C000C010C020C010C020C000DD3 +:10409000000D020D010D020D010D000E000E010EAE +:1040A000010E010E010E020F000F010F000F010F94 +:1040B000000F011500150115021503150415051B48 +:1040C000001B011B021B021B031B041C001C011C08 +:1040D000021C031C021C031D001D0100001D030027 +:1040E000001D031D011D021D0400001D0400001B16 +:1040F000001B011B021B021B001B01F5CFF5E5781D +:1041000087875F160021001B19D17BCD6401237ABC +:10411000CD640123F1FEFF28058787CD6401237953 +:10412000FEFF2803CD6401D7F1C9D5C5FEFF2829BC +:10413000E52A204A5F1600194EF579FE0820163A46 +:104140004383CD604128040E0F180A3A4483CD60A2 +:104150004128020E02F1E118014FCDFB40C1D1C947 +:10416000B7C8FE033002DFC93AC98ACB57C9FEFF80 +:1041700028033245835F87835706087BFEFF2801AB +:104180007AEF140478FE0B20F2C93A3483673A358B +:10419000836F7C3C878787677DC6048787873D6FF1 +:1041A000C9EB3AD68AFE0120033E88F7DFCA2B42CC +:1041B000CB622818CB422814CB4A28093A34833CD6 +:1041C00032348318073A34833D323483CD8A413AFE +:1041D000D68AFE012808CB63203BCB5320373AC94F +:1041E0008ACB43282CCB4B2014CB4728043E011804 +:1041F00036CB4F20043E02182E3E03182ACB472808 +:10420000043E061822CB4F20043E07181A3E081819 +:10421000163E001812CB43280CCB4B20043E04184A +:10422000063E0918023E05CD6E41C93A3483B720D7 +:1042300020CB43281CCB4B20183A2083E60F2811B3 +:10424000CD8A413E01CD6E413E00F73E0732E18A04 +:10425000C93A3483FE1C2022CB43281ECB4B281A9C +:104260003A2083E60FFE092811CD8A413E06CD6E25 +:10427000413E00F73E0332E18AC93A3483473C8726 +:10428000673A3583FEFE20093E00F73E0132E18A9F +:10429000C9FE1420093E00F73E0532E18AC94FC627 +:1042A00004876FCB422814CB4A20090525CB62280E +:1042B0000A2518070424CB62280124CB52280ACBF4 +:1042C0005A28040D2D18020C2C783234837932359B +:1042D000837C8787677D87873D6FC3CF41E5DDE1BD +:1042E000DD7E01DD6602DD6E03DD4E04C9E724E7F5 +:1042F0002CE725E7C9CD2843252CCD3343CD3243C8 +:10430000C9CD2843CD324325252CCD3343CD32436F +:10431000CD3243C9CD2843252CCD3343CD3243255F +:104320002CCD3343CD3243C9E7CD3243C9E7CD3637 +:1043300043C92414E7C92515E7C90E001600E7C9CB +:10434000CF59CDDD42FE34CC0A3408DF284708CBF4 +:10435000412828CB49200F4F1600CDF54224CD3AF5 +:10436000432DE7C3C4431600F5D525CD3A432CE7CA +:10437000D1F12D244FCDF542C3C443CB51CAC44320 +:104380001600F5D52DCD3A4324E7D1F12C254FCD9C +:10439000F542C3C44308CB41281ACB49200D16046B +:1043A00025DD74024FCD0143C3C443160424DD74DC +:1043B000022518F0CB51CAC443160A2CDD75032D13 +:1043C0004FCD1443D7C9CF59237E2BFE36CA9C4408 +:1043D000DF2844CDDD42CB41280CCB492003C35715 +:1043E000431604C36843CB51281CCB492004160054 +:1043F00018021604CB59CA82434FCDF5422CCD3A50 +:104400004325E7C3C443CB49200416001802160411 +:104410004FCDF542C3C443CDDD42CB41280ECB493D +:104420002005160CC3A0431616C3AD43CB51285B21 +:10443000CB492004161C18021622CB592021F54422 +:104440004D0C0C3E01CDCD17B72004F1C3BB43F199 +:10445000AFDD7700574FCDED42CDAB2FC3C443F551 +:10446000444D0D3E01CDCD17B72003F11812F1AF29 +:10447000DD7700574FCDED422D2DCDAB2FC3C4437B +:104480002DDD75034FCD1443C3C443CB492004161F +:1044900008180216124FCDF542C3C443CDDD423A8F +:1044A000C98AE63FFE10300B16002C0E36CD28438D +:1044B000C3C443FE183004160218EFFE383012CB86 +:1044C0004720041604180216080E36CDF542C3C460 +:1044D0004320E4CD3A4324CD3A432518DAE5DDE123 +:1044E000DD6601DD6E02DD4603DD4E04C9CFCDDDA4 +:1044F000443AC98AE6030E0CCD1345C3C443CFCD5D +:10450000DD443AC98AE6034F3E03910E0DCD1345B3 +:10451000C3C443DD21324887875F160005DD19DDFE +:104520005600CD3B4524DD5601CD3B4524DD5602EA +:10453000CD3B4510F024DD5603E7C9DDE5E7DDE1BD +:10454000C9CFCDDD443AC98ACB6F200EE603DD2109 +:1045500042480E0FCD1745C3C443788747E5C5448D +:104560004DCDFD1B01968409361001580209360015 +:10457000C1E12410E8C3C443CFDDE5CDDD444FDF06 +:10458000202F79444DCDAD194FDD7104CB51CA01B7 +:1045900046CB5920072CDD75022D18042DDD750240 +:1045A0000E1B1607CDF54214252C2C2CCDF54218E8 +:1045B00050DDE5DD4E04CB512841CB59281F0E1BA1 +:1045C0001603CD28432CCD3A4325E72C2C2C0E1B6B +:1045D0001605CD28432CCD3A4325E7181E2D0E1B7A +:1045E0001602E724E72C1604CD2D432C2C2CCD3AB3 +:1045F0004324E72C0E1B1606CD2D43DDE1AFDD77FE +:1046000004DDE1C3C443CFDF201DCDDD44CB51CA5F +:104610002746CB5928142DDD75020E1C1600CD2817 +:10462000432C1603CD2D43C3C4432CDD75022D0E40 +:10463000001600E724E72C0E1C1601CD2D4318E7C9 +:10464000CFDF202ACDDD44CB512823CB5928222D82 +:10465000DD75020E1D1600CD284324CD28432CCD38 +:104660003A43250E1D1604CD2D4325CD3A43C3C430 +:10467000432CDD75022DCD3A4324E724E724E72CB3 +:1046800016020E1DCD2D4325CD2D4318E1CFCDDDD6 +:1046900044CB41CAC443CB490E1F3AC98A201ECB22 +:1046A00047200E25DD74011602CD2843CD32431874 +:1046B000091600CD284324CD3A43C3C443CB472039 +:1046C0000724DD74012518DF25CD3A43240E1F167B +:1046D00000CD284318E4E5DDE1DD6602DD6E03C9A7 +:1046E000CFCDD646E5CD92413AC98AE601C62A0623 +:1046F0000BEFE13AC98AE6018787570E21CDF542D3 +:10470000C3C443CFCDD6463AC98AE67FFE102851AE +:10471000D2C443E50603216989110000C5E5D57EB1 +:10472000B7282426006F19292929110020191107FB +:104730000019CD6E014F06072BCD6E0123CD64010C +:104740002B10F579CD6401D1E101600009EB010086 +:104750000109EBC110C6E10E231600CDED42C3C422 +:10476000430E0018F45FCDDD422DDD75034F1600BA +:10477000CDF5422CCD3A4325E7C9E5DDE1DD7E01EB +:10478000E60F3D5F1600213783197EB7283135DDEE +:10479000E5CD3E30DDE1AFDD7700DD6602DD6E03A5 +:1047A000DD7E01E6F0CB3FCB3FCB3FCB3FC63F4F5B +:1047B0001600E7CD3243252C0E001600CDED42C980 +:1047C0000000E000E000E000E000E000E000A00009 +:1047D000A000100210011001A101A102A110A0006F +:1047E0000000E000E000E000E000A000A0001001F8 +:1047F0001001A000A000004810481848184822489E +:10480000A000A0002000A000A000A000A000A00028 +:10481000A000A000A000A000A000A000A000200018 +:104820002000240020002000200024002000200080 +:104830002000030504030002010003040503000136 +:10484000020002030203010001000302030200014F +:1048500000013702F13033B03F453F80237F4202F1 +:10486000F45310235F43310277F8376F8302F4501B +:10487000131F48037703F877F207F837101F11F872 +:10488000472F8302F83F433B01F130174017F102F5 +:104890007201310132013905F443EF4202F8502F21 +:1048A000440236F493C09F8802F470FFFFAF1108F2 +:1048B000720F974F8273F837204330274013903F91 +:1048C000110F8320737F11F413103F4631F41F1131 +:1048D000F8202F8302F433F9F473DF42F11F8503CC +:1048E000F13F8201F4602F140EF13F42320FFFA01E +:1048F0002098509680986096F099309A509A309C03 +:10490000709AD09C5096E099B55C215101265102D5 +:104910003651024651025651026651016651018FCD +:1049200051038F5103AD5101B95102D05102E751EA +:1049300006E7510647520373520421510171510198 +:10494000715101805101805101AC5206ED520201BA +:1049500053021E53022151012151013C530FE353D5 +:10496000041B54051B5405435405945404CE5408A9 +:104970002A5504655504885504C15504EE55042E86 +:1049800056046A5604AB5604ED5604ED5604ED5633 +:1049900004ED5604ED5604ED56040E57107D5710E5 +:1049A000EE5710455810B358100C590678590C1A88 +:1049B0005A288A5A28165B284D5B1CBA5B1C215169 +:1049C00001215101215101215101525C02525C022D +:1049D000525C02655C02655C02655C02655C0265B6 +:1049E0005C02655C02655C02655C02655C02655C9C +:1049F00002655C02655C02655C02655C02655C02E6 +:104A0000655C02655C02655C027E5C027E5C027E27 +:104A10005C027E5C027E5C027E5C027E5C02108E2A +:104A20001096A463AA631264176454645964896479 +:104A30008E64E364EB642C653365A365A865E46567 +:104A4000E9652C662F666B666F66B266B466B666FD +:104A500005670B673B6741677E678367B667BB6720 +:104A60000368066862686868AE68B06805690969C5 +:104A700055695B69A369A869AA69E669EA69526A26 +:104A8000566A9C6AA06AD36AD66A0D6B106B656B16 +:104A90006A6BC66BC96B066C0B6C846C876CE36CC1 +:104AA000E56CE86C256D296D6F6D736DCB6DCE6D0A +:104AB0001D6E216E596E5E6E856E8A6EB86EBD6E0D +:104AC000E76EEB6E536F596FAE6FB06FB26FFD6FE5 +:104AD00001703270367077707A70C270C470027173 +:104AE000067139713F718A718F71BE71C171EE713A +:104AF000F27125722772297266726972A972AD729B +:104B0000FE7202733C7340738A739173BD73C473F6 +:104B100006740D7467746C74A174A674F474F674DE +:104B2000FA744575497567756C75C675CA75FD75F6 +:104B300001761C761E7665766876B876BB76057744 +:104B40000A7747774B77907792779577D177D977B0 +:104B5000097811785F786578AB78B178FF78047957 +:104B600036793C7984798A79D979DE791C7A227A06 +:104B7000697A6C7A6E7AC17AC47AEC7AF47A3A7B82 +:104B80003E7B837B887BE57BEA7B257C2A7C477C9C +:104B90004A7C8C7C907CC17CC67C007D027D047D3F +:104BA0004E7D517D977D9B7DEB7DEF7D157E1A7E41 +:104BB000487E4D7E987E9D7EE27EE77E467F497FE1 +:104BC000667F6B7FB87F85FF00001F33030389FF7B +:104BD0000004E030363B33FF0400843C6664FF038E +:104BE0000081400300C0FF00000800008000FF00BB +:104BF000000C0E08081CFF1038387C7CFEFE0303F6 +:104C000001200103070733BB1185003C7EFF69F2D9 +:104C10003C0000048080002070200001030100049B +:104C200000207030B0B0033E8D2A2A3E3F1F01297C +:104C3000290143E7E700040F8607070301FFF70395 +:104C4000FF967F3FFF1E73E14D1971F5383F61F10B +:104C5000B99D8FC7FFF1B3B303B6BCFBB30F0730E9 +:104C60002040840C070000557F002A000C00001033 +:104C70008092DFFFFF7C0000203277FFFF100008EA +:104C8000181C3CFFFFFC00044044EEFFFF910003B2 +:104C9000071F7FFFFF0FE706FF820CF3050084FF6D +:104CA00071B5B505958371B5B50595817104B503E9 +:104CB00095817107B5817507B58371F58503A58366 +:104CC00085857106858165039581B5048503950405 +:104CD00058815B0395858585B5858504B504850375 +:104CE000B50685816506F5035F038F826FEF088542 +:104CF000825B5B0353825858198582F8F8058F81CF +:104D0000F804EF045F033504158471853535041507 +:104D10008371853505158471853535040582718566 +:104D200006158371F8EC050583715FE506710003D4 +:104D300000870103070F1F073F06FF81FC04FF8F59 +:104D4000FCE08008DCFDFCFE7E0F03E3FF8008042E +:104D5000188580C0C0DCF603C6030088387ECC8886 +:104D6000D01F3F3F037F03FF87FEFCF8F8F0F0E021 +:104D70000800810107008D98CC840000030F1FC636 +:104D80006321007803FF83E0FF3C03008283C308B4 +:104D9000FF08E010008A3E7C7838180800000703FE +:104DA000060183E7F7F704FF83FBFFFF037F8B3FD9 +:104DB0003F1FE0F0F0F8F8FCFCFE0F008D0100034F +:104DC0000F1F3F7EFFFF79F9E1C1040108F8851F3D +:104DD0000F070301030006FF863F070080E0FC0386 +:104DE000FFA1FE03070E7CF8F0C000FFFF7F0F035A +:104DF00001000081C7DCF8F0E0C040FCFFFF7F3F0E +:104E00001F0F0777B177B12AB1000D0090010103A0 +:104E100000000181C3E7F7F980C0E0F0F803FC1C53 +:104E200000813F03FF840707010004FF04FC04FF27 +:104E300008FC050083030F1F0400817C03FF0600AC +:104E40008AC0F0E0C0C0E0F0FCFEFF070081800CEB +:104E5000FC04FDA83F7FFEFCF8F8F0F0FFFF1F0701 +:104E600001000103F8FCFCF8F0E0C080FF7F1F07A1 +:104E700003010000C0F0F8FCFEFF7F7F08FC04FF88 +:104E800004FD82F0F003F88BFCFFFF070E1C387066 +:104E9000E0C080070085040000C0F804FF033F9FC6 +:104EA0007FFEFCF8E0FEFF7F7F3E1E0C04FEFF7FCE +:104EB0007F3E1E0C04FFFF7F3F1F0F0700E0F80539 +:104EC000FF89F80F3EFCF8F0C0000077B177B12AF7 +:104ED000B1008A0A0F0F070303081CAAFF06F0821D +:104EE0008FFF06F082FFFF06F08207FF06F082D5F3 +:104EF000FF06F0812004708B50D8F81C1C1F1B1B70 +:104F00000F070728F084F8F8F010033882F803043C +:104F10000783363F1F28F08AD8F8F0FCDCD8F8F079 +:104F20000F0F06FF07F081FF07F081FF07F081FFF9 +:104F300007F081FF07F083FFE0E006FF03F587E558 +:104F4000E5F585855FF1061182F5F1061182F8F12C +:104F500006118258F1061182F5F806110385816564 +:104F600004F582856504F582E5F5281183F5E5E50C +:104F700003858265F50385816503F581E528118345 +:104F8000F5F5E504F583E5252505618171071181B6 +:104F900071071181710711817107118171071183E7 +:104FA00071F5F5056181710084D8D8DAF803DA99D2 +:104FB0000063D6C66636B6630019B5B535B5B51902 +:104FC00000CFACACCEACACAF0020B10087CCD8F1F8 +:104FD000E3F3DBCD0300869B5BCF03DE00107100A3 +:104FE00090C6C6C0C6C6D6F60070C0C6EDCFCCC748 +:104FF00000107100817C05C6847C0018380418AD4F +:105000003C007CC6C60C3860FE007CC6061C06C68A +:105010007C000C1C2C4CCCFE0C00FEC0FC0606C612 +:105020007C007CC6C0FCC6C67C00FEC60C04189181 +:10503000007CC6C67CC6C67C007CC6C67E06C67C16 +:1050400000508100921038384C7C868600FC6666E1 +:105050007C6666FC003C6603C085623C00F8640325 +:10506000669B64F800FE6268786862FE00FE626813 +:10507000786860F0003C66C0CEC6663E0003C6811C +:10508000FE03C682003C0518833C003E030C8DCC19 +:10509000CC7800C6CCD8F0E8C4C200F004608762C7 +:1050A000FE00C6C6EEEE03B68B00C666765E4E46C2 +:1050B000E200384403C6A5443800FC66667C6060A4 +:1050C000F0003844C6C6DE643A00FC66667C786C44 +:1050D000E6003C66603C0646FC007E5A0418823CB2 +:1050E0000006668A3C00C2C264643838100005DAE3 +:1050F0008E6C4800C26438182C468200C2643803A3 +:10510000189A3C00FE8C183060C2FE003C4299A107 +:10511000A199423C7EE7C30E180018187731693117 +:1051200000080008110008FF08E7817406F48211E6 +:105130007406F481110008FF08E781970684821144 +:1051400097068481110008FF08E781F706748251F1 +:10515000F70674815100080F08F0817106F182E1B1 +:105160007106F181E100810006FE81FF07F78117DA +:105170000089FF7F3F1F0F0703017106F1811100B6 +:1051800089FFFEFCF8F0E0C0807106F18111008813 +:105190009FBF837F3F1F0F070BFF85FEFCF8F0E0EA +:1051A000037F05510331055103310551000AFF8684 +:1051B000FEFCF8F0E0C010510004100538057C82B8 +:1051C000FFFF03F1817104F1817105F182715100DA +:1051D00082FFFF053E051C040882715105F18171B3 +:1051E00004F1817103F100B2C37EDBE7E7DB7EC32C +:1051F000FF00FF6666FF00FFFF00FF6666FF00FF1F +:10520000C37EF7CFF3EF7EC3F000FF6666FF000FAB +:105210000F00FF6666FF00F01AA104A694A11A51C0 +:10522000F1F1E6F6F1F17171F1F1E6F6F1F1511AF1 +:10523000A104A692A11A47F1F1E6F6F1F17447F143 +:10524000F1E6F6F1F17400893F3C5A66665A3C3F3C +:10525000FF060092FFFC3C5A66665A3CFC51F1F195 +:10526000F7F7F1F151510611895151F1F1F7F7F1C9 +:10527000F15100A03C66C38181C3663C3C66C3819A +:1052800081C3663CC003300C0C3003C0C003300C3B +:105290000C3003C004510881045190815181518127 +:1052A000518151518151815181518100907F3F5DE8 +:1052B0007F6D7F3F0DFEE0BAFEB6FEFCB0081B0816 +:1052C000E8051B830F7F3F05E893F0F8C0F7F771FF +:1052D000F171F17131F7F771F171F1713108F10884 +:1052E0007105F18371F7F7067182F7F70090FFA956 +:1052F0008B8B898BA9FFFF515BBBBB5B5BFF104BAB +:105300000004C089C2DEC0C00000F0FFF0030081CD +:1053100071057F8771711111F1F171031100850021 +:10532000000FFF0F0300040389437B03031111F1F6 +:10533000F17103118171057F8271710090FC060F7C +:105340000A0A0F06FC3F60F05050F0603F081885D5 +:105350000F17377FFE035F85F0E8ECFE7F03FF89C0 +:10536000037F7F0066321A0FF803FF84664C58F003 +:105370000418840F17377F041885F0E8ECFEFE034D +:105380005F0400817F03FF080083037F7F050081A6 +:10539000F803FF8466321A0F040084664C58F00448 +:1053A00000814F06F1824F4F06F1814F08510431C1 +:1053B00081F1037F043181F1077F0431047F0431DF +:1053C000045104310451043181F1037F041181F14E +:1053D000037F0811047F0411047F04310411043198 +:1053E000041100057F83FF6D7F06FF92B6FE010169 +:1053F000073F0101073F80FCE08080FCE080067FE2 +:10540000823171037F0371813103718E515171714A +:105410005151715151717151517100067F82161FA6 +:1054200006FF816606FF83FE68F8080308E0057F33 +:105430008251310671835131710574825131097184 +:1054400008510086FFFF4E313B6E04FFA1728CDCD9 +:1054500076FFFF0F0F040303060F0FFFFFE718BDD2 +:10546000E7FFFFF0F020C0C060F0F0713151037130 +:1054700085F171713151037185F171713151037190 +:1054800085F171713151037185F171713151037180 +:1054900082F171008B05070F0F05070303A0E0E001 +:1054A00003F084E0E0060703038F0E1F1FF07880EF +:1054B000F0787C3FDCB1B1818103F18361B1B10649 +:1054C00081037187F19191F1F181810671008C80E6 +:1054D000C0A0030707020702060A8004C08403076E +:1054E000190203058601E0F0C8C0800400890307A3 +:1054F0000702070307008004C092E0C0051A8585F3 +:10550000C5610000E0D881010306000003F703D75E +:1055100005F705D782F7F706FB82D7F706FB04DB12 +:1055200084F3FBFBF707DB11F700816006F08A10BC +:1055300001073FF1081C0C187005F08E90C040EC7C +:10554000001038031FF86181818F0387818C03F17C +:1055500085F7C7C79C9C058C8B87671F7C7C9797BA +:105560009CFCF7F10009008D8000400000800000E5 +:10557000010002000105008D1038100000558899C7 +:10558000BBAA22CC4418B100A5183D1A3C7E6A562D +:105590004218BC583C7E6A56427E427E6256627E0B +:1055A0003C7E427E6256627E3CB1B191F1F1037461 +:1055B00085B1B191F1F109748221C106748221C1D2 +:1055C000008C00000304090911111EFEC0800400B4 +:1055D00090183C7E7E3C1800008060183C7E7E3C2B +:1055E0001810C181F1078183C1C1F10581008401D7 +:1055F00001030303018503C0C0E0E003C082E007AC +:10560000050F840E07F0F803E887C818F09191F1B0 +:10561000F1037188F16161F171C1213103F18131CF +:1056200003718A3121317171A1A12121C100A00032 +:105630000103070F0F1F1F00F0E8DCB8B0E0C0FF48 +:105640000F1F3E7C7CFEFE008F1F3E7C7CFEFE0416 +:10565000B181F103A104B181F103A1811103B181F1 +:10566000F103A104B181F103A100900003070F0F22 +:1056700007071F000080C0C0F0E070030E870F070F +:10568000070301381C030C869CD8F01181F10381BB +:10569000843131111103818531316191F104B18A75 +:1056A0009191819191F1F1B1A1A100AF01030103A9 +:1056B000297F7F29C0E0C0E0CA3FC0CA01010301C1 +:1056C00003010301C0C0E0C0E0C0E0C0A1B1A1B1CE +:1056D000A1A1C1A1C1A1C1A1A1A88C03A18EB1A109 +:1056E000B1A1B1A1C1C1A1C1A1C1A1C100840000EA +:1056F0000306030785030000C06003E081C00601C4 +:105700008A000080C080E0E080000020B100A00797 +:105710001F1F070F3F7F7FE0F8F8E0F0FCFEFEF16F +:10572000FBBEFFF7EB7F1FF8030F0FDFFFFEF83024 +:105730000099B19191C121B1B1A1A19181C1212162 +:105740008181989889898668616198056898616106 +:10575000119191111121B1A1B19198C121B2BAA1B8 +:1057600011918111112103A18481918181036185AE +:105770009A988986860361829181066100827F0002 +:1057800004FE8480F7FF0004FE8200EF03F78180AF +:1057900003FE818003EF810003FE31008F918E9E16 +:1057A0008E8E6E1E8E918E9E8E8E6E1E038EA56EBA +:1057B0001E8E8E6E1E8E8E6E1E8E8E6E1E91919120 +:1057C000818161E1819191918E8E6EEE8E919191A8 +:1057D000818161E103819661E1818161E18E8E6E5B +:1057E000EE8E8E6EE1818161E1818161E100921F27 +:1057F0003F7FFFFFFFFFFFFFFEFEFFFFFFFFEFFF0B +:10580000FF05FF837FC7C703EF83FFFFFE300083E1 +:10581000515171057F8351517104738171057F036B +:1058200071827B7903718773737151517171047146 +:10583000825151067184515171310C71827B790C06 +:105840007182317100A03F2E7F6E7FFFDEFAFCEC8B +:10585000FEEEFE5FFFF7DEF4F46E7F6E3F3F1FF754 +:10586000FFEEFEEEFCFC300082B161038184BA6180 +:1058700086A1046186AB68618186BA046184B168DF +:1058800061A1046183A1B16103818CB16181BA61BD +:10589000868186BA6168A1046186A161618181B156 +:1058A000046184B18168BA046184BA6161A1046150 +:1058B00081A100030F857FFFFFFEC303F095FEBFAC +:1058C0001F0FBFC1FDF0F8FDF0F06083C37FFFFF45 +:1058D0000F0F0630008831312171777774740321FE +:1058E0008171097483B7B5B4057483B7B5B4031176 +:1058F000037186717132322177047403110A7103C6 +:10590000B1057483B7B5B4057103B100A0000103FC +:105910000707030E3F40C0E0E060C0F0FC3F1D0AF7 +:10592000161F60DBF6FCD890486806BBD5300081B6 +:105930008103B1848181F1F103B18391818104F10B +:105940008671F1F1177F7403F18571F1177F740689 +:105950001182F1F103B185918181F1F1061104F118 +:105960008371F1F1037190F1F171F1F1717F74F1D3 +:10597000F17171F171715100050083010307040099 +:10598000D480C0E0F0050F1A3735ED7F1FA0F05826 +:10599000ECACB7FEF800C0FC7F03033B0FC0E07027 +:1059A00033B3B7E6CC07361F87EF7DF13FFCE0FC51 +:1059B000CE66198FFC001D3F67C3C3031B60F0B8A0 +:1059C000187C7E36EE361B8FDF7B07E33FDCF8FC6E +:1059D000EEDCF8C7FC041184D1D12121041184D15B +:1059E000D121C105D1832521C104D184512521C1F3 +:1059F00006D182F16108D18361F1E103D18225C131 +:105A000005D1831D25C107D181F108D182F1F104AF +:105A1000D18225C106D18225C100A000012B0E55DF +:105A20005F7D778E347C6BBB7AF43B5DA9A0C0C0F0 +:105A3000F17F1F6EDC1BAE78FAF04008009015B6BF +:105A4000EC7C5FDC1F4FEA78D2D4F0BF7F1B3800BC +:105A50000781818B0381848B8B8181078B8281817C +:105A6000038B058108000481828B81078B038108E9 +:105A7000000C81048B058184B18181B10781068B83 +:105A8000868181B18181B1048100A04063773F7F2D +:105A9000E67FFF0898B8F0FE3CFEFC7F1F3F1C3CF1 +:105AA00098821EFE7FFE3FF13108781000907FF053 +:105AB0007870780EEC78FE3F7FF7FC63373C30005F +:105AC00084B1B1918104F184B1B1918105F105F8FE +:105AD0008AE671F18F818B8B89E671100081F10468 +:105AE0008F8FF87671F18F8F818BB87671B1B1910C +:105AF0008104F184B1B1918104F184B1B191810546 +:105B0000F1048184B17171F1058F836771F10381B3 +:105B100084B1B17171009501033FFF0F000C0E7F3E +:105B2000FFFEFCE0F83CCFCCC8F33F3F03BF88F357 +:105B3000F0F8FCFCFEFFFF5000065182B1B10851A5 +:105B400083B1B15105470851200030510081030352 +:105B5000079C0C1F0F1FF0F8F8FE7CFAF8FE3F8F31 +:105B6000DB919BDFF01FFC7E6FE3E3F8833C50008A +:105B70008BD1D15171F1F17171D1D1510671814740 +:105B8000044D8A1D71D1D4D1DFDFD11D7120009366 +:105B9000D1D15171F1F17171D1D15171F1F77171AF +:105BA000D1D151077187F1F1D1D171717D054D824C +:105BB000D17103D182F1F103710084000010100350 +:105BC00030AB703F78FCFC0C0C3EE330180F033018 +:105BD000301000F1C70F7FFF6E6EDE03061C3830F9 +:105BE0006060C03F78FCFC0C0C3EE1C08003038B7E +:105BF000180C07F0C70F3FFE01061C300007818D0F +:105C00008451747171F4F4747FB4B1B1F103818281 +:105C1000717F0374847151517108818C5174717159 +:105C2000F4F4717F8181717B0471817F037404714D +:105C30000881815103718C5151717151517171F110 +:105C4000F171F1038181B104710474047181F10770 +:105C5000710003FF85F0E0C0800003FF850F07039C +:105C6000010010F10003FF85F0E0C0800003FF8514 +:105C70000F0703010003F105F403F105F40081C1EE +:105C800004DD84C1FFFF8304BB8383FFFF10510049 +:105C9000900078FC7B3233393300387C3810181090 +:105CA0000010410088006CFEFE7C38100008810066 +:105CB00008800871000289058906860D867F863E68 +:105CC0008B094B0C4BE086E087F087F387FF861C3F +:105CD0008B284B084B04BA24BA1BB81F981F893B6A +:105CE0008FC6F8CFF800BA80BA00BA009840864054 +:105CF000867FF87FF8064B06890F8618861F863D3B +:105D00008A3786358A104B38897C898A86FA869F3D +:105D10008AF786938AEFF8E6B810F7DBFBDFFBDF44 +:105D2000FB9FFB0FFB3FF83FF8BFF8A0FBA0FB2059 +:105D3000FB20FB20FB338638842F8B0F8BEF8BEF00 +:105D400086EF86E786F7864F8BC68BE08B7486746A +:105D5000867A8674860CFB3FBFCFFBE4F7CEF79FB5 +:105D6000F7BFF7BFF760FB1FBF30F730F718F71C1E +:105D7000F79CF7CEF7C186067607750B750B750B8A +:105D80007507750F7568869076E07560756075604B +:105D900075F0757875FFF77FF77FFE7FFE3FFE2E6B +:105DA000FE3EFE37FEEFF7EEF7ECFEA8FEE8FEA89B +:105DB000FEB4FED0FE8603071FFCF87003008D0CB6 +:105DC0001F360F0E0000C0E0F83F1F0E03008530A5 +:105DD000F86CF07006008A070D1D0F0703000130F4 +:105DE0003106008AE0B0B8F0E0C000800C8C0800FA +:105DF000832030180600841E1C1E06030083040C3A +:105E000018060086783C7E7F3F0304008D011F0E3C +:105E10001E0F0000F0F000F8F87C030081F003D0C2 +:105E2000813006008A0C1B3F3F1F1E001000100728 +:105E3000000480040082C0C010008A0F040E0C060B +:105E40000402707078060086C03C7E7F3F03040029 +:105E50008D031F3C331F0000F0F000F8F87C0300B6 +:105E600082F0F803F00600890C1B3F3F1F1C00C0A6 +:105E7000C008000480840000060611008BC0700476 +:105E80000E0C060402707078050087061C3C7E7FAD +:105E90003F0304008D011F2F1F0F0000F0F000F8DA +:105EA000F87C030085F0FC98F0F006008A0C1B3F9C +:105EB0003F1F1E00D0C010070004808400000606AB +:105EC00011008BC070040E0C06040270707805007F +:105ED00087061C1E3F3F1F01030097033F1F080B4F +:105EE0001F0700787880FCFC3E0000C0E0F0F0F86E +:105EF000FEC0050089060D1F1F0CC06000040800CD +:105F000003C015008DC06038020706030201383E49 +:105F10003C1806008D03071FFCF8700000183C1F9A +:105F20000E0303008DC0E0F83F1F0E0000183CF880 +:105F300070C0070088070D1D6F6703000108008807 +:105F4000E0B0B8F6E6C000800A0081200300892096 +:105F5000301C0C00001C0E040300810403008F049D +:105F60000C383000002070306020400E0E1E0600FD +:105F700081030F0087F00F0F001F1F3E0300810FEA +:105F8000030B880C00003C7EFEFCC004008580F8FA +:105F90007078F007000401040082030305009530C7 +:105FA000D8FCFCF878000800080000207030602061 +:105FB000400E0E1E05008260380E0088030E0F0F83 +:105FC000001F1F3E0300820F1F030F8700003C7E4F +:105FD000FEFCC0040085C0F83CCCF8070004018436 +:105FE0000000606007008930D8FCFCF8380003032B +:105FF0000300892070306020400E0E1E0500826074 +:10600000380E0088030E0F0F001F1F3E03008C0F79 +:106010003F190F0F00003C7EFEFCC004008580F895 +:10602000FCF8F0070004018400006060070096306F +:10603000D8FCFCF87800030308000040E060C04092 +:10604000801C7C3C1813009803061C1E1E013F3F59 +:106050007C000003070F0E1F7F030078FCFCF88014 +:1060600003008780FCF810D0F8E0060003030C0062 +:106070008960B0F8F870030600200300890C0C005A +:10608000000C080606070B0081400C008862F7F739 +:10609000F3F37939D803DC821C04050083E038BCB3 +:1060A00003FC8578787070400B0003038A63F15914 +:1060B000080004060301010300048088861C3800E0 +:1060C0003860C0800D00AE0406030101187C780220 +:1060D00004060C0E040003070F1F09171F7C300075 +:1060E000033F7F7E3C00F0F8F8FCFEFCE000007C03 +:1060F000F8F800F0F005008710000003CFDB0C0C6F +:10610000008380868005008660C0808101010600D2 +:106110008880C06030002A1F0603C00B0084081C62 +:106120003E3E047F843B3D1D080400822038033B33 +:10613000881B1C9E8FCFCFEF460D0081020A008C7A +:10614000E0E06050302000303000006004F08378E0 +:1061500038D803DC83DE0602070089306A7E7E7C45 +:106160007C6C706005008303070704030A0083C08A +:10617000C09403808400000C1C0C00840301F955BA +:106180000A0082010104819D7F3F1F0C00802000D6 +:1061900080E0CEFCF8FAFAFEFDF9F1610103071088 +:1061A0000103373F7F04FF81FC04F888FCF0C0E066 +:1061B000C092029603FF817F043FF71F0F0707037A +:1061C000C9E0E9FFFF09211DBC74E44DDB6E46CE3A +:1061D0007BF1142509202448036236B7FE7E6B6AE2 +:1061E000E6A22B64200E37694E904499A8A2A9AC70 +:1061F0005548371C03F00CD62DC2298555149249F9 +:10620000891A621CE0021100540050208000A01086 +:106210000044101104400844200410040100080246 +:10622000082240288000001111FF1111FF1111FFF9 +:106230001111A3FF111100001111FF1111FF111114 +:10624000FF1111FF111100001010FF1010FF1010AE +:10625000FF1010FF10101000AFFF1111FF1111FF00 +:106260001111FF1111FF111100FF1111FF1111FF89 +:106270001111FF1111FF111100FF1010FF1010FF7D +:106280001010FF1010FF1010110010801400820C6D +:106290001C050C811E0800817006D88170080088DA +:1062A0001C3636060C18303E0800817006D8817006 +:1062B0000800883E30303C0606361C080081700617 +:1062C000D8817008008263E6056681F30800818E3C +:1062D00006DB818E08008871DBDB1B3363C3F908A2 +:1062E00000818C065A818C080088113373B3B3FB8C +:1062F00033790800818C065A818C0800817103DB98 +:10630000817303DB81710700818C075A818C030044 +:10631000A5FEFCF89080C0F0F0F8F8F0E0C0C0C82E +:10632000C81F070304000307070F07030101000844 +:106330000CFBD1C0E0E003C003E003C0868000FF97 +:106340007F7FFF047F063F861F1FC8C8E0E004F080 +:1063500081F805FC85F8F00840010507810F051F4D +:10636000870F0700C0C0E0C0078004C0811F030F73 +:106370008C07030301000103070F0F073F200000F4 +:106380008B78B78B78B78B78B778B78B78B78BB8B3 +:10639000778B78B8B7119BF011117F0F0F0F0F0F8C +:1063A0000F011110A08E081007024C1F0801190FD1 +:1063B0000C100A1109120113031200140312001128 +:1063C0002203220011320332011030073001103055 +:1063D000073001103007300110300730011030074E +:1063E00030011030073001103007300110390F1024 +:1063F00010200F2EF00F02F00F02F00F02F00F022C +:10640000F00F0E300F02400F15D1C4C1C6FBD1FCF6 +:10641000D100A088368F064C1C003A022803E80FF2 +:106420000E3601310F0C2602200F0C3602300F0CF5 +:106430002602200F0A1C0FA8B60F35B3A8B3ABB3C2 +:10644000AE139146851988198B198E7DC88DCB9D09 +:10645000CE6DD100A088368F064C1C011A011A0F90 +:1064600023100F17100F2F100DA90E1C06F006F0A9 +:106470000510200F5BF00F03F00F3BF006F00F0844 +:10648000D1C8D1D17DC86DD100A08A348F04420912 +:1064900012024612021902103501100210350110C5 +:1064A00002103505103004100410300410041030B0 +:1064B00004100410300A1030F40510300A10300AAD +:1064C00010300B300B32F1300F240930400F1230F6 +:1064D000400F4610200F6810200173B1C9C6A9CD26 +:1064E000CAC60000A0889A03309F010C4C1C3F01D3 +:1064F00008330F31380F373400300F0A3C0F443067 +:10650000400F9E71D072D073D074D075D076D08881 +:10651000C48886188889C48AC48A861A888A8C0A9C +:106520008E8BC48CC48C861C888DC40000A0889F70 +:1065300002339A0711024711021803380B30021078 +:10654000073002100730011107300111023003300B +:106550000210023003300210330331023303310FD3 +:106560000F31033112013103321101310330033095 +:1065700000310330033000310330033A00100F487C +:106580007202740ED40EE4088202840F0730400FAA +:106590000030400F0A10200F0410200771C8A2C855 +:1065A00073C80088338F033302110A11470318039D +:1065B000190B100B110A1600140F0AF23202320FD7 +:1065C00018100F0C320F111502130F1810200BF0BA +:1065D0000610200F0B540F63570F19618C66CE26DF +:1065E000916ACE0000A08F06310813481F0E08E301 +:1065F0000B300F04330F123103300F213000330200 +:106600003004B00F171800120372007100730F13DB +:10661000F00F14F00F2C510F21C00F08BA0F09050D +:10662000916788678D089149853B8C008F08311CE4 +:106630000F10320F0133083600320F0B300050308C +:106640000F003100300F1B310050340B310A310F75 +:106650000C1C0FF452831387138C3483058C3684FF +:10666000378C1791388108883A840088328F071C4C +:106670000F0B3202330B300B30023705B1300230D2 +:106680000B300B300B3006350F113704370230005A +:10669000370F0C150014000F0B580F24620F63877F +:1066A000087D0F06C0B4A486ACB78407C9888C09DE +:1066B00081008F0C070C0111001701100B100B103B +:1066C0000B10011300120F54300B30920011011106 +:1066D0000130920110053092011004329101100432 +:1066E00032910110033490011001370F1D580F092A +:1066F000C00F03C004580F8277CA77CC88C279A82C +:106700002C824DC5008802308E9B331C0F1711015F +:1067100010021001110F4B310032003200319F1A6C +:106720003290329F0D3C0F45560F39560F48E1CA43 +:10673000F4CA66CA68CAFACAEDCA008802308E9BDB +:10674000361C0F23100F171F0A0F179130063093B6 +:106750003006309330063092310631923006309355 +:106760003006309132063203F00F67F007F002F086 +:106770000F46F00F13B18641CAB7A6BD8600883414 +:106780008E9B360F2410083209320A31023006314E +:106790000B30073002300F179F3E32D632043040A4 +:1067A0000F09F00F3FF00F4D10200F0410200F04C1 +:1067B000102007D1C80098339F03350F24300035CF +:1067C00006360834083401F63508330A310B300533 +:1067D0003203973003973102963202953302943593 +:1067E000019337003C04720F91800F1880037106EB +:1067F000D302800BE303820484C095C086C097C097 +:1068000088C0009F08333000360030001005300B80 +:10681000300B3106310231001200330231120034E4 +:106820000230120035021200360310003708340718 +:106830003501320131053201310F00120F241C0FD6 +:1068400006C20F1FF00F04F00F48C20F3BC1C6C1B4 +:10685000C8E1CE228AE2CE8583A6B178C168CC9900 +:10686000CC0088338D3588351502130F17D103D628 +:106870001103180A100B100615021003130311035D +:10688000120213031101150310001703190211025C +:10689000150F181D0F30F00FB3638A65876789974E +:1068A0009179C6698B7AC67BC67CC67DC6008F0C83 +:1068B0001F090812091102160010023003120331D9 +:1068C00003120232110012023303100234110011BC +:1068D000013505360532013114003202301400331F +:1068E0000130130233011204320111063007300562 +:1068F0003003320331003B0F20F00FC3D1C5D4C5A4 +:1069000086A2EACB0088349F070F3E3320600050F8 +:106910002036200420342006203220082030200396 +:106920002203200F0D22002202200B30200A3121E9 +:1069300007200A20300520022034200032002032B7 +:106940000FF4D1D183A2338473A985CB97C077D0BC +:1069500089CB7BA90088329D91359A1500150F17B8 +:1069600035150A110A110A110530011000100B101B +:106970000F07100F321001A40F05350112010F206F +:10698000F002F002F00F206300590F04D00F03E073 +:10699000045203560F0F550B30400F0EE1C5CAC508 +:1069A0005DC4008B18200706070C011001170110A9 +:1069B0000B100B1001360210280F103500100F239A +:1069C000100F0E3201320F0D1029011039011A0F6C +:1069D0001D5B05D00F03E00F08550F4E550F1EC766 +:1069E000C878AAFAC8008F0634891C0F12110A143D +:1069F0000110001002100510001002100512021004 +:106A000000100010021102100010001102100611F7 +:106A100002100611021001100011001201100612DE +:106A200001100610001001100212001003120012D3 +:106A30000F1013041A00100F55DD05ED07C70F489E +:106A4000C00F04228F22911881089019815B892B35 +:106A50008C0088338F071206120F17100033003393 +:106A600000100F0C2202220F0D3204320F0B100304 +:106A7000A203100F02320F1C120010021000120F9E +:106A8000195206570F7E5206570F19D1CF22917413 +:106A9000C574C87AC57AC82C91DDC1008F063689C5 +:106AA0001CEC0F0C13001205E60F0F1701100F0D51 +:106AB000170F0F170F0F170417011C0F05BA0F49F7 +:106AC000B40F4FB80F0E74C275C2B7A9078C4886B1 +:106AD0000982008F08360F104006600150026001E5 +:106AE000500F1E40086004500F1F500060066006E3 +:106AF000500F0C500A6001A80E1C0FF4F1C08486E0 +:106B0000858187A0F7C589A18AA6FDC0008F0834BA +:106B10001C00B002B002100B1005A004100B1003F3 +:106B200014021003B006100B1005A0041001100889 +:106B300010011404100110B205100B100B100B10F3 +:106B40000B100F02A000A00F0011021C0F3AC40F7F +:106B50000B5C0AD80AE8018E0F097407710B820CCE +:106B600083028700008802308F071002180516057F +:106B700010340610300230001301103002300310C0 +:106B80000110300F151030023113011030023102A4 +:106B90001001103002310510300231051035051A90 +:106BA0000F2D1301160F326401640F09D00F03E09B +:106BB0000F59640F101287128C188618911A91DBE6 +:106BC000C31C91CDC2008F0834001B0F171C071483 +:106BD00008130813091200C60112001602110A1147 +:106BE0000B1407100F0C120F121C0F73570F6903B1 +:106BF0008783CB73D184CB94CE74D1558795CE67E0 +:106C0000C168C13B910088358F0336130012001311 +:106C10000F171300120017001200130010001000CD +:106C200010001000100010011000100010001000E3 +:106C30001000100F0C1000100010001000100010B9 +:106C400001100010001000100010001000130012BE +:106C500000170012001700120017001200130F1780 +:106C60001C0F34F00F03F00F40F00F03F00F36D17C +:106C7000C671CAF3C683CAE7C697CAFBC68BCADD0C +:106C8000C67DCA008F08361501120B100B100B10B1 +:106C9000011501120B100B100B1001150114091234 +:106CA00009110A1100150113001305110211051134 +:106CB0000211051102130113041101130411011330 +:106CC000001200110113000F7BD00F03E00F4A30B8 +:106CD000400771C171C571C996AE99829986998A2A +:106CE000998E00070C038F08011A0F1C1706150157 +:106CF00011031401110413011105120114031101F0 +:106D000015031001110013051101130F0D1A0F12B5 +:106D1000100512C6120F19540FC664843687679087 +:106D200088A28B820088338F071C0F0D120011037D +:106D3000100F0C170B100B10041101110F0F130083 +:106D4000120F1B1400100514001003A116051C0FD0 +:106D5000B9540F2605810587058E0881088709919A +:106D60001B891B8B1B8D1B8F1B916CC66DC6008FE7 +:106D700004338B12061506150615001002100012BA +:106D80000F24120010021000120F03100F0510063E +:106D9000100F1D1002100F0E12021002120F2555B7 +:106DA0000F09530F1CF002F00F0B530F1D550F1955 +:106DB00061C472C473C4638A6581658E67886981A2 +:106DC000698E7BC46B8A7CC46DC4008F08331C0F32 +:106DD0000AC401D40C100DD4C40F0CC401D4001487 +:106DE000011A011A011A03130310031303100811E7 +:106DF00000100E110A11031C0FF462CE03840387E6 +:106E000063CE048107847ACF7AD10B817BCF7BD18B +:106E10000C810C87ECCFECD1EDCFEDD10088338F16 +:106E2000071C0F1710001B0119031804180319037E +:106E30001904180418041201130411031204100396 +:106E40001309130F0E1C0FF471CD81CF72CD82CFB9 +:106E500093CE94CE8682368B0088358F03361C0FF6 +:106E6000171206120F0A1108110F0DC60F1A12067B +:106E7000120F241202160FF4E1C51282828C8CAC20 +:106E80001C91EDC50088358F03361301160F17FCD2 +:106E90000EA202A20F01180F381204F4100010F411 +:106EA0000F171C0F646509540F06650F5581C9F64D +:106EB000CCE7CFF8CC8DC90088358F03361C0F3155 +:106EC000FC0C9C1198110014901401109810009063 +:106ED0001098109F0312941C0F171B000FF441C150 +:106EE000E1CA0284EDCA0088358F07160014E60B4C +:106EF000100B1005100110011000110012011001FB +:106F0000130012041005100413001200C101130035 +:106F1000120410F5100410051001D1001005100422 +:106F200017041700C1011005E209100B1004100529 +:106F300011D20010051C0F05BE0F31BD0F0DC00F83 +:106F40002DF00F25438268CA6ACA6BCAFCCE6DCA8F +:106F5000FDCE008802308F03361200110113041198 +:106F60000A110A11071407340113027209820F1261 +:106F700011321001130F251204130F1815011300FD +:106F80000F7BD00F03E00F2D530053005300520F1F +:106F90000581CB92CB34C86AC19A837AC69A889A03 +:106FA000CB9A8D9AD06BC17BC68BCB8BD000070C54 +:106FB0008F0C011A0F27170D100F19170E100F0144 +:106FC00050170F0C170B100B100418010F03D00FE4 +:106FD00003E00A540F90720F1583348384D13586F1 +:106FE000358885D1368386D1B7A8B78BB7AE97D110 +:106FF000388398D13986398899D13A83008E368F73 +:1070000001B500B50F181902E90F0D1000170F0B8D +:107010001003140F0E1100100F10120813021F0797 +:107020000F1DB60FC03690A7824786378938903B30 +:107030008E008E368F010F0BDB07140813C6011A62 +:10704000021205B002120A110A110B100B100F27C1 +:10705000100F131C09F004F00F14F00C580F2757F1 +:107060000F69028052835583078039843A860B80EA +:107070003B883C8A3D8C008F08361CEC0F0E160FA7 +:1070800010160F0C10001008100F0C180F0B100F1B +:107090000C18011C0F05BD0F00510F77540F336101 +:1070A000C2A4AB0490A68B0690F7C5A7ABF8C5C8E1 +:1070B000C80890F9C5C9C8A98B4A820A90EDC8EDE5 +:1070C000CD008F0C1C0F183308330B300B300833F6 +:1070D00008330A310A3108330833083605380836D0 +:1070E000053614001B00160F5972007200700F0E47 +:1070F000F002F002F00F5B83A6838A6DC17DC58D1F +:10710000C9008F05348A1202167209820F14130106 +:10711000160F241803B80F00100A110912091406DB +:10712000160517031900160F64F00F7F81C632C3CE +:1071300082C6128EA4A8A9A3008803308A368E15B1 +:1071400000150F241500150F0A100A100F17100351 +:10715000100010031004120F02100A100F171C0F5A +:10716000555300550F04C0005406550F5C61C6719D +:10717000CD64C174A865C196CE2881288398CE8934 +:10718000C18AC17AA86DC67DCD0089348F02361BB5 +:107190000F251B0F3211061309120A1101120610D6 +:1071A0000113091309130814031200180F4BF00FF1 +:1071B0009891C192C1128993C1A5A31983008F0828 +:1071C000360F2A160F2A1109110F2A160F1D1C0F30 +:1071D00030F005F00F64F005F00F3071C6F1C9040E +:1071E00091AA829BC09CC09DC0FDC67DC900883409 +:1071F0008F071501130F0CC804130011051300119C +:107200000F42D803180F0F1A0F0C1A000F03C00FEC +:10721000B95E0F08128194C685C6B5AE96C657846E +:107220005A841C9100070C8F0C01380F1B250F3856 +:107230003000230130033095310330953103319311 +:107240003204319133043705350F0D15001509D877 +:107250000AE805540F4F540F13C509580F0E8F003D +:107260000316C64CCA008F083600320432023104BD +:1072700031043004300F1030043004320232023A4C +:107280000F32300A3208320A300F171C0AF00F246E +:10729000F00F22F00F8061C0128CE5C086C8B6B135 +:1072A00087C888C8E9C01C8C0088358F070138055D +:1072B0002408220A200B200F16200F03300A312049 +:1072C000082030052005302003200320310A300F2C +:1072D00003200A2208240636021C0F0FF00F24F0A8 +:1072E0000F1B520F11F00F32F00F0CE1C071D17271 +:1072F000D173D167CD7BD17CD1EDC07DD1008835F4 +:107300008F071B0B100B100E1101110F1F11011114 +:107310000F1C10011101110F1910041101110F0D93 +:107320001B000FCF5B00660BC882A2229183A6844C +:10733000AA85AE86A287A688AA89AE008A2F048C69 +:1073400014001B0015001400140411001305110093 +:10735000120F27100A1109103109103020091030BE +:10736000200910310A11001009100F181B0F075BBC +:1073700000610F0A300F1BF001F001F00F0D100F2C +:107380006275C5A6C276C577C5008C359991998876 +:10739000360F5815001535003B003525002806220C +:1073A00035003B00351500150F0F50061C0F74F00B +:1073B0000F6F94A62A869B91ECC0EDC0008C359986 +:1073C0009199883512011201120F4B1130013010C2 +:1073D000300130113221322135213221322F0A3F42 +:1073E0000A1C0111001200110F0C13001200130FE0 +:1073F00034D00F03E00F3FD00F03E00F37E1C1E2BD +:10740000C1ECC1EDC1008C359991998835120015F8 +:1074100000110313081308130813081308150011AB +:10742000031F0005351005350623301006223107ED +:107430003310073308130F193100331C0F4E30403F +:107440000F0030400F0030400F0030400F02CA0FD5 +:1074500009C20F2562C263C299C12A882A8A2A8C6E +:107460002A8E2A909DC1008A338D358A1500150F0A +:107470003610001009120A10041005100F3D100FED +:1074800003AC0C1C0F1EF003F003F00F23102009B7 +:10749000C60F0530400F28F00F25FBC1FCC1FDC110 +:1074A000008A368D368A1A0A110F2611001600102E +:1074B00007120F0F10051004109510001002109500 +:1074C0001003129410031294100310001093110271 +:1074D0001100109410021196100211961002190258 +:1074E0000FA6530F12304004530F0DC3CF9584697C +:1074F000C14BC600070C8F080802110010001000D5 +:10750000100010001001100B100B1002100D100FC6 +:107510000D100F0710021100100010001004110AC6 +:10752000110F241B0F161C0F26C00F09CE0F11C6FA +:107530000F0FC80F13C40F11C604C1C161CC16814F +:1075400018821C84008F0802301C0FC1AB0C1C0F6A +:107550003CF00F27F00F27F00F27F00E61C1E2C1BA +:10756000FDC6FDCAFDCE008802308F071C0F17181C +:1075700009120E1100110F0D1302100F141100113A +:107580000210071002110610021130031000100241 +:107590001131920511329105113390120112340FFD +:1075A00020540F0AD00F03E00F32640F4BF1C5F1E6 +:1075B000CB168516871689168B168D168F1691C8D1 +:1075C000C68DC18DC7008F0798361C05B00F1231CC +:1075D0000431033194310431923106340F1B100A07 +:1075E000100F1D300595309B309B30953C06F00FF9 +:1075F00033F00F24560F2CF00F23F00B008F079859 +:1076000036001B0F24190F2A190F2439029F17392E +:10761000120FF442C6B6838688B68D008F0C110017 +:1076200014340511300230061030023006103002DA +:1076300030073002301100110F2C1100170F2611E6 +:1076400000130F1D1100190F25C60F19200F04106C +:10765000200F04100F6312831288128DA9B1DAC1B2 +:10766000DBC1DCC1008F08341107120F0B2105218B +:107670000F27290F19180F10160F1B1303120010D4 +:107680000F08530B300E5209650BF00E20018001DC +:10769000800C400170017001650F13F00B100F0793 +:1076A0005300590F02C2008E0372C973C9D4D0753A +:1076B000C976C978C979C9008F083506120F14C276 +:1076C00005100B10A802100B190210001072100503 +:1076D00010018206100B100B10001504100B100B7C +:1076E0001007100F17130015F17F000F0C8B0F14EC +:1076F000C703F00365005500100F346500550030D6 +:107700000F2B38CA0088358F03350F241001100164 +:1077100010011001100F24110111001101110F248B +:1077200012011201120F241C0FF491C581CAE1CF7E +:10773000E2CF84A2258787A2278C29878AA2ECCF53 +:107740009DC58DCAEDCF0088368F0708100B100B32 +:10775000100B10021502100B100B100B100B100564 +:10776000160B100B100B100B10021502100B100B48 +:10777000100B100B1002190204740F868404840F7E +:1077800045028C029185C0F5C5F5CA85CF088700F2 +:10779000070C070B88120912491F1A05100212095B +:1077A0001209120913011601110A110A110A11090D +:1077B00019001001100F211C0F0E10200F08660F6A +:1077C0006F650F37F2CF94C5078CB791B98C2CC574 +:1077D0000000A08A2B893603300C4C1F1700B00321 +:1077E000B10F1C1201170F10100A11051601130F0B +:1077F0002260001C0FF471C51791B9918AC51B8CCA +:107800008CC5BD87FDCAFDCF0000A08A03302B893F +:10781000360110011006411001104612011A011A1A +:1078200001170F2412011000110111041001100B97 +:107830001104A004100B100312011201120F2418DE +:10784000C2100F275309D909E9055301660F2C30DF +:107850000F40830061CAE2CF2691E8CAFCCA00004B +:10786000A08B362B8D0C4C1F170F2410011001110B +:10787000031006110210061002110210011102116C +:1078800009130714011003110A100F0E17C2110F6C +:1078900016D00F03E00F0ADD05ED0F7D71CA61D12F +:1078A00087CA888788919BC5ECCF0000A08B368D56 +:1078B000350C4C1F170F121308120110F210021092 +:1078C0000710021007100210071002130311021014 +:1078D000B204100210031002100210071002100769 +:1078E000100B10071701120F5E780F0D880F24661A +:1078F0000F2091CA74CE67CC6A85EAC9FDCE00001C +:10790000A08F06350C4C1F170F1713F3120F0C1214 +:10791000C500120F0A11011401110F241C0FF4F1FC +:10792000C5F1C9F1CC3291A3A6A4B196CC98CC09EB +:10793000867DCC7DCF0000A08B368F020210041014 +:10794000024210041042130417041704130F2410EA +:107950000A100F0A100A100E110110011102120470 +:10796000120F0FA100A10313011001130F286605C8 +:1079700055650F5F55650F06660F19E2C567CA7832 +:10798000A1ECC50000A08B368F020612024612023F +:10799000180318031702110F2410001601110F0C01 +:1079A000190F0D120C110A130815011201170F28D7 +:1079B000650F1510200F32300F0130400F0030409E +:1079C0000F2AB1B1B4AA348D1587E6CA678EB88A7A +:1079D000698F6B907DC08DC5002B882B8E33051170 +:1079E0000A110A1144051603180DA10F08140F4EB1 +:1079F00013071109120813021900110F08F00F32B2 +:107A0000F00710200F32F00F4BE1C072C073C0744A +:107A1000C075C06790698EFCC5FDC50001A08F01CF +:107A20003535051001100810011002451001100233 +:107A3000160110021601100B100B100B100B100288 +:107A40001601100B100B100B100B10041401100278 +:107A5000190F1A1C0F86D40EE40F05660F2DE2C510 +:107A60009287E2D0968CFBCE00070B88970C002BF8 +:107A70000F0B2102210021012102210520022109F1 +:107A800021092102200320002102210921092109C5 +:107A900021032104210B230A210F012000200D24A2 +:107AA0000724052B01C10F09560F74CA045600564E +:107AB0000F2DF1CAF4C8F5C7858F6682F6C6E6CBEE +:107AC00000970836220F24290F27290F27290F2472 +:107AD000200129007D0F08D00F03E00FB815828523 +:107AE000A795ACB5B1F8C5F8CAF8CF009036903676 +:107AF000903690360F24210920002107210121060C +:107B00002102210521022206250922052002210544 +:107B10002101210921092109200A2104200A21A685 +:107B20000222062C0F58F008F00F13F00F28F00F68 +:107B300024D3C7D3CAFDC8FDCC00903697070F24C5 +:107B40002001200120012001200F182008200522FB +:107B50000F21220F02200A20022102210F062005F8 +:107B60002C0F315A0F215300520F245A0F30F1CAF3 +:107B700093CE94B1F6C786CAF8C788CA9A919BCEAD +:107B8000FDCA009036970336011000130110031050 +:107B900006100310061005150220011601200B2007 +:107BA0000F082000260221002205210121062101C3 +:107BB0002006230F02210923022102210121022193 +:107BC0000120032104210A210926D2220F1B1020A3 +:107BD0000EC30F7B30400F1FC2041486F5CFB5B122 +:107BE000F6CFEDC60090369703360F242001210210 +:107BF0002101200F0B21012201210F0B2001210265 +:107C00002101200F0B21012201210F0E2102210F42 +:107C10000D2C0FF4F1C784A285C895CE89A28AC81D +:107C20009ACEFDC70090369703360F27290F2429D7 +:107C30000F2A290F242C0FF4F1CA3682B6A7B6AC4E +:107C40003691FDC0FDC5009708350F0B210A220FA4 +:107C500001200220062109220F03210B2103220407 +:107C60002102220A210A20022005200421032104E6 +:107C70002201210F01200A21092F000FF4F2C492E2 +:107C8000CB8380648688CC89C28BC70090369707E7 +:107C90000F2420012502200F21A20C200322012203 +:107CA0000F17200125002000200F242402240F316B +:107CB000580F79520F1E81CBE1CF97820788978C9E +:107CC0000090369535942901200F17200E260F2796 +:107CD0002201240F1A2000200F1DA001A00C290151 +:107CE000200F23520F65570F18D00F03E00F04F138 +:107CF000C5118CB5B1E6CBE7CBB883B888E8CB002B +:107D0000970C970C002000290F1A27F10F2520004F +:107D100027A106230926002103250023022203238D +:107D200002210324022300250A220923002C0F0527 +:107D3000570FD771CE71D172CE72D1D3C073D184A7 +:107D4000A274D1158775D176D177D178D1009708F3 +:107D50003502290F1A23012001200F1A23012001C7 +:107D6000200F1C2101200121012008230F0F2001D9 +:107D7000200F0A2300277C0F01D30F00E30F19C443 +:107D800008520F84B6A2568687AA8AA6FAC9FACDE7 +:107D90009DC59DC99DCD0090369707041605100816 +:107DA0001100100B100620071100200F0B140F0DEF +:107DB0001203140B100B100C1104110F0A160F0CE8 +:107DC0002C06CB085410200F0C5303640010200F16 +:107DD0000B640F125802640BC4076400530F19544C +:107DE00030400F0785CB97CB7BCA00903697070FA3 +:107DF0002424022902240F172101240F0E9F17208B +:107E00009B219A229923982C0F46610F3D610F4BBD +:107E100041C7A7C40090369703360F2420022A0AD0 +:107E2000220B200B210B2002250221072002200714 +:107E300093210694200694289F232C0FF4F1C799D0 +:107E40008299876DC06DC50097033690360F0E205E +:107E50000320052003200520032003200020032009 +:107E600003220320032203200321042003210420F2 +:107E70000321042003210921092102219102220169 +:107E80002192289F00209F172C0FF4F1C08580F7C6 +:107E9000C647CC8A80ADC70090369703360F2420A2 +:107EA000002000210021002000200F1720002000CA +:107EB000210021002000200F172590259F292298BE +:107EC00024932C0F18530F2D5200520F11520052B1 +:107ED0000F20530F2891C6B3AA85C689C6BB8A9DB9 +:107EE000C600903697033602B003B2210DA002A15E +:107EF0000F032A0B200B20012302230B200B200150 +:107F00002303220B2001952002200195200022014D +:107F100094210220019421059322059223059128A2 +:107F2000012A00200FC7610F01C4008E0371C571C3 +:107F3000C872C572C873C573C878C579C579CB7A5C +:107F4000C5AAC87ACB009708360F74200B210A22E5 +:107F50000F122C0F172C7E0FD18C0673A273A6D391 +:107F6000C87BA27BA600970501303629012601B106 +:107F700001B00F18260524072209200B2005A101B6 +:107F8000A00F042504270225042203200321052035 +:107F90000F04A001A00F032C0F06650F15650F1528 +:107FA000650F37610F0AD50DE50F0DC1C261C461C0 +:107FB000C673C275C21CCE00970C00390039312E31 +:107FC0003020313938362E30382E3331FFFFFFFF65 +:107FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:107FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:107FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:00000001FF diff --git a/Sega - SG1000/rtl/roms/BombJack(32).bin b/Sega - SG1000/rtl/roms/BombJack(32).bin new file mode 100644 index 0000000000000000000000000000000000000000..155dc6cd52daa3d30521ca038d3dfbb8af77c452 GIT binary patch literal 32768 zcmdqKdt6lI_CLP&%rG-thY_{m63mF@6dfB8Y43e>(DKq%^IDxciD_77idR4p#w;%< z%S#^9Qzoc*Aydh`q@^246h~4!N4t`Pikc{b3XX!azwh-+oXw}!o+ z%UaKR*0a`n*7NK=570#^yEKe{wyd)6G+Po^nLX{6O)J|tnU}SjE1#WK`R=5v&c**c z*<3c3UviAPO6fW!U3S^ceiufI)p~ zoJTQUFm)#LJ-N!dY0fSDYm}P5dVr7QDqE&G>-lsZ71g{^8w{DUiJI3l)E2%CYm>>F zD6LS<1_d-$9?>;8n)V&m+P3IO5u@ZhAAe}L#-}+nUgPAm60@8^$*;(^&ov$RQd=iE zRbz{gQ+ZpuoN7r-(Edp}uw0cN=Y4J@3?_Or>#DvPrEt ztd=cbNpbRneCZymDN(vt-eV0;;&ogwL{3c1OAeNC3y~{c&gQs<<(y`{o-?l1^IT6o z$M;4$^sE@EX?=)GtB1mwBV>WsY<8<&0w; zpm%WG`e~fzFVlG5ah}t*J=Mm`y=IZl&4jx%;&$ZN+e_OXe@PCY1uQ9(P*3yg(mh*Pl4Gj%WzU;6z zF>i;}K(y~$&PqrISWmi-BqP&bdQP^+xL>v(@$vTX6MQms^t_yRg71`t)Uw5wY`z_h{TtIkf?bpIOBPr;aMd19!+7 z6v%$(ejXLIPJN)_CwCSq_PL+M{j}47>MihPj$_#YZnHa*uCr-&{<-WOcK&&I(8S8Y zhDkM>`&}A6z&6qloL8Ngl~}>SL5;y-MvYdlq5MC8{kiJlv!;GO|MGA}yc+;^O1h%}y-Bw-RF1s!<%yJbGC^#>b^J$J*2OMwDSI#y0 z_+!?{lv=k<&jr7nILvmjZRIB>+skr_(^@&)>Rf=uU$22yc32~&;j+tTwzqNUlZVTd zA!aOi-4128DN7A@Mp`b`9N*tw1BRu?s(l)J=xy%y2xla5dY?G)mM?zam&H@rWU8l%8jEj{BnLg)aQ#mb|~2B>x`T2Ub$O0OLrSr z`tPmnsdltp->S8VwT^4uIwghSBN=xi!*^!*c;XJzmX`$^-NW`Z7~Ch^-#V?yCK;lo zW>!9Kb-o8Vfvq_nu>TJ0K=)FcN$zG(u}f0Gaj zf9TeY^{ctl?=fGrJ;>L2U$7CpS+Ml?ZT-xB!qSjp`MPyS_p**sbtKI5Nl7UwQ8A6^ z>jn37)@Vx{WXSUc2^y!aN0$A2CU8`YMUQP{gxo-qBr-`(hLC3O8aqUYhX~aFY-b3_ zS!0aSsj=*HKAs#eQxhGDJzlTd4{MbAVh{Q{A2f5i=*Y{tCyb+Klt-$1wuksS+x5&u z6^9?US{j`W%N}RX);vHO;1c&cE+xsY6{J7#?( zw#ez=8<=f*<(jNyt6Yn*Ma7i+&1%>B;$_>@a_Uj%YprN+uZGS=V8?goz%Mjsi`7eg z>bhJg*QiUnx}v-Ly36fB?%6=sn%Po+ zX$#i$vH?0`Jk{FOHZ9EjH<1scsY^T4K)2x97mW}d3)vn)OFIMV%Bsb-#NF4n4mjJ} zurOz@BgCGv#!zd&UeENgS_cg4Gsr59l6&|<1l@@ci`U$vUF8I8t*u&DKthi`ZG4|EfM$I32bmfgW+Jd=O{*Gl>H`V@HLVqaUE>!tF-qpVa!w zp(g1C8Jl!>KWx+Oe6W9~{;F(?74Tg0H4Rn6*&ZS$lu4!xBBn3W8sy~EfT=!?G3yVp z#hT3TiM*a;dPEv*{!Ao|%_J|p;|`>@Kk?BZ0J*wH3xs(*$6#n<#mW+sc>>)re*IfL z>_%M=yH3}`dNmuYrXtv+zMPnvotT=Ln5t73CR+zNb#h{=-u4Zxe37()-H#l#5AwAW zaC<=DgKSMQnsE4m`*gT0JMk1lo6L?fc9bpBOER{_Sx)ZKXxj}rwWlx0fhWv6wd0dp zWZO;k=_Qq~uysi6c;Ee<><>Jc^iX1AS$241V*kzk5}6tII6K!`jnG$hL)o10$|p^h zCRoL6<)Xu_O%>8exgRzPw#0VP=sohLIZ_}?eqySCytZYjW(U{fV6>$fZfx_WnOz!7 zz1|X*fqW^c}csh z!FjvwF;Tni?PC)Y!y+#pll~(20M$Vo!#ijF}yuz z``#R#ho!cx$z(fe_8A-@wkmU84QkM93N^duF-3%ms$M=mj9O3Ut;+p!I=re zIwwFYh~&0%!I@3v9Q74UM3{4uZNGqFI;Wr-M9!%oiwLou6`a$ldInMFsnoU~1?My> z=h|)v5g~-mN71%Ra89J^$+n+_h%l|$YON^&6Xe9M(3%u zwWvjC{d!s}cVPR~W7V}-OoW6^S+#x&Y@tTV@G&d`0sUJ3WqTD5*YwPaH$~ljUGX&j z0C`(CY(In8mH`jPc=t1sf-SuyQnvxlUG&xpE8tD_?Nx>Cm$v37{Tl+?MUlPof`F-b zdcW}BC4@;^i5Q8s{Uw=P^RIGk;6+kvf%m^KU}*PY*e>)NFl0!d{(YYq)W`bhfM*^Z zJowo@k3G@rX)8+ohYrTWul3mhPxK!$nEd~-r~C98WVJqq3hQ5A9-jW;@Oe&BeP88l#M|K6zVq0#Ds^af*aNN8AigelV8 zCaP___V*0v-)G2k0|vHxuHDP+GTM!Am)$O}-8=2xYqzwWYv#9Yp zJIK7F0uj9Zw#hZ~tyyoY)WD-ijfqTcILm}#TYF)wPY-?UsTZuzKHckuK7%@2nQ+#@ zeV*>~*bwV8eFhKi)vu4W^Rq*TSTWKk2fQ$N$P<`j}Pk8$2#N* z5cVGMT>lExj1JE>}xU@~?O*!y18&Ym>~LLLIL@h&5OF3a?Js@>@|uTem!)2``m61?w@^ z>~7VE9=!)U!{aqr5b!LR*v)#V=6F<8RE$q=)jIh$N$#opzPV@I{oDiQ_3fjv+SVTX z&9ZKpWkqzKn0B$%v3YSjtYh#>F+q0f5$@sM`zhwLkROxf5BW|jVll0`*xKL`bHAEJ zv{o~v?1}TYY5EYnf5PgQs>hqoKirE{_#%(eT9IcryZ1OlSp#}+6&-n_0{eN+atTAl z2oDWtk3s1PW2txV@wpnoZcaa^vJm1m*gLzMY7$dJ65UOSsg7zIxulm9c&7!5-DJs3 zoRFBBi`|WL9wK4cfc61`REvP;L0Xc1F8(|RVxw+CBDOdYSV~j*q@+|H)W@nZTcNe5 zEO>2&2e%|=SXAZudt+;k$Bd7S8I32N-p}9>H`YD`&n3^|`9G2i4phe3A46qY&GBqJ zd0_FP=OEB_KD4&IdzgEvRpUNE@4~F9jwE*z9!%WBteO*%ZE6!!w>bX>VJ&+1QVWR6 z*0zT*iM4s*Q|tcK{p~{G65iMS zmPFlY=;ejpfYCJm*g%6nG%p&XnipMj{6{mViH@xKQ6-}1xjSTW_8Cw|PJrm#kMt6x zT+^B)+MW)Qmxx3IHvmbw>EDRr?P(zT^KGJI)$W(A!BKcMyZ&Ct?CxJ{4M|CXqVR^( zQim4;*W62Mj$0PFPvHJ8Msd{rt>rM35S19y52N1cK0zZUla`0k&{Q+U+J~W=L4jKJ zF&3kZvpXYp^tq*u}M)(T=%freJwHN`h|{MaG*hvC*qArKqBVx*`V!99N{ep%Ac^xj&=eK34vCL9QmX#O?4QeF@cL;+mSB=7kG7I%LSZshkv;8vMdA|TZ ziMPKBg^z#?C-~Mz?ra|j;h!Pl?N6ybfJtzd1qC!rAw5L2u8*^KM7Y*(=1*y-p~ZWZ)99oiI26O@fL z0yBWH4Gf#w8Vl3*Oga`NUA?0&WDhfS5fedW@~!2|6RnCTEC-YDA~dGF?OnnjT6QmD z#Ik!yAG+3l;dQU79~-e23<{tUGD1l(Ag=6qIdS$SN)yoJ8@j#JL|mR~7rbo}NtT56!7MbOlH(A*AaZY%kx zJ|(4GXVG-)mbk~NLEylfU_6;)#crne!5Uho`tI|_vq$*v%HpM)@{WD;6Y#iW$J-lw zR;veHTZ_EM9^I;j9*7Z{V#aJ#x}WKe0Et&q96cpb1;(C7`>v$2EjDD&CrY>EA$*0~r0PA&WSUa6yeLgVfaJ?ii@DBVXT zENv*=C!+;>`R#uV7j5qaBlj?Kk@O}UbEY4Pk1--dG&MS5&L*NZ!5qmkqBwgjiud3> zG1P_bNNMj|>M2=j`HhkjmQ$2`Yx%jx%n6kR)2b_aK!de-mZlJsQEQKcq4Q2?YTe^% zNwBP>Vq?>ANXl-#ZBSkG$%0W~dckG3FWY4v98~6@khM_1QDjT7m83_y^dwuEMz7 z`i1p~^@26XX0`p<*3~Tb}J>+Zw@wjW}(O13Pn;&c^*4hMF^X-rriI-kp!q z8HRfA{RInKqZTbjNhcD+50?CWX)Dx+%a;GcYVF8SAAP*ylUAse1=d0^6fMsV9sIbp z3)_5K2M_5rXo&UEp+klY=>M>!88B#+q~eqR9S@S;jIq_aYBgiLridkL*C83@N3Gw$ z>WYh5^65sFsJCkA-d3f5&vKkYh>I}b`@gU_A=4w%cWeT_{gXYJLR=UJ&>9Rpk8f0D z2FUUW32MpfCA=v?{Wj3s5*OFqt2LP7312UBTuX}|4UkeSq3Ns zuq;I-OQ{DH64LPGhrU;yEYoUdaooAf;rCiB9A}s_yS)4W$6alfphjz=rFy~V%k}xjjtvOm@082^mLQkQ76@{w3_JK(O7&rJ z-NzpGg>fx%OGE_9xpE7m_mzJeM;(Bkei)y;h+KTZicabB-|;Zp)8AKKoHg@~ zg@s=~+Fi&!d)9aMEZ1`OEd6k~b#d>z3BB`Jj;0)__(pY69ioO*(P5d=+Pda@&{usx4gW(>DxTkANmB|ldA{b z=TrGIa+E<xs~MAq<9w>^Xg;d0 z&&nnfJQ0AtaL4!L1|miem@}rdwETQUQK^x-JdgorIfw{TSM47Jic$^PXA&ELiR+o3 z31Iyx`Dq5KM8h~74`4%~h=C&m_^KXMXiVH9oO_xvEeTruiZtPSe}F85n&}nP_4O@2 zB_}(RgJ9V-he=7KWSZ$ur2sISjOEfJ*|nCKj4zp5h)p9ZBh#dq(H6lTL6WApmbXg>3NKA*rD^e&gr<>fr- z3a^w_;iI^eS-bIx?vj2mwrjdf(MVAoj!uz^C9h3~{%4GeH7_G0&yr{9p7--|3u4I~ zsLymXU%!6&WEzxQU0PZ?b0&B0z<~t|65<>!E#4c|5FsBrR3HcLEhutIi%bU|Ost-8 z0NC@EUtA(3aIrh+kUEKm)I8_FxvOM;@P>9_nS``_lv{8hLuP6NG0_5vv;}o=9T(kU z(lj-t89_cmbkuQk^BnL=NTcHQ>))Rv4{vB_ZU$?rA~-TP9V?%^R^NE@+Kp>1X%DY_c=%@1 z!xwH`VzPCN{Ing>JhyVkNlF>O^#fU!qOU;wLYBcFkT6orr_6;5Gc&XNuw~27fV6~% zuiQF$a)CN`Fhk`nubsd6>#uW|F5pwz@b>pSGJSfr>|zFK@m?FSx4F5&!%Dbm3_)SR zv2CKvY({dNHS_4QgJV06>aCvNF_?mbO%aB8r!yfU?A{*T>%D^D^*XY>-fYWxZ#~;n zBqW4kClPPC2g>r)FI-rk>B-K`^=3MDenk_PoI{s3VBLq``h0mti}1X+{^(I?nQKy% zrbtU%n}o2?(1;)dH(pW3GkTY7ZMjnO+ob$_EQGc$u_lAbcu)Iw?PJ3XBThGwbG4-W zYskM+E?VlfhS1PZlYjJKaoj;K1sU0D35zhLIwHYNr_-^BW-zf$66=7IJ@s1dag>BpsT_iuqP@Wsj;KgQtJTUP7fxTHMBT&h$nkhB-eI%;UFlv0 zi`<&`^yqSqZn8KBWmze zrFoGj6K1A6C`5Rj*a^!_|9ZB>>aeLM{X_lCemP#8)2c#atL$Z?*74l?CthIg2kQoXEXHrjI($3 zpWcc;`bTrWd^Gi;KL9o;q%nAi`eflH@tV4XCU;C0lb=j}DmgtlC;1=AyOY04KAv2c ztRy?ScIi5(>&UM2yB2j_+jU3Rqg{XN>g%d>ZJW|1rGLuElu;=&Qr=Hlow6mRB<0r> zB}Lb*UAIBqCUu+JZBe&f-A;D9)~#KtGj&pGP{OyvP|W zA{Vq4*EU>FNLn!;Pj(WISJPRT>r%NjKmqWhXh`GT;L5I(Q7wcI*Bv1lQ`{z60hwh@kV2@z-cOR zswZ6J!rz2Al_G~<4B#}+NPN(H=ujVa7BV#nT!>!eL!w1q*H_}g`lHjJpCA_`37Uqh z6juyn>;<9QqJr;u@jYPL_D6x&w-q@JOcU}3 zx_B2#CzB__Of<+43^D|R4Cw>a!aSNbV+6jddaczZv!NU647~gy}{{UlJ zf-%-0g<67xFh7Dyn69~?F|f`Abv_3@2y1tNAoG#xp*KDBM&s09M7}F#R*V*EyIstjC-Wk6sO9l))H3 z3w)FwjsUJ&_23@hrdA%7E0`bd0R zxSIAa65k+P6Rrz4g&yJz@oVvxXcI?>g<`chQpgZq7oL}fN`s_gX`Qr2njuURvV=BL zJINwV6sL&U;)lXNgcZUS;j(a1m?(@F#tJiqw}m-EhWNTTR@6(uQkXPfd|C_>+lYh2 z#o~4GCVKc>*al8BgpI-mVU#dJ*dT3`K9{yh)#4fPoVY{UC4DWmmF|&Zq!7s<>7*=i z8e|(Sj)G)6gk8ed!a8A%P$(2argf5A43(ae)(B^Wj^a0>PUn0LXx-( zBa_6R#2D!>(pKTB&{gaw_7;1IGsRMomwHJ(q+1yE46%nOiI0enNGZ~TQYUGNu!u=U zQhp#V6_<-i(i_sJ(!1hZ@g4CUVXpA5@PV*YST5M45mF(z%z(^YrAg9O=>ViC71v9z zNp{ICgo;mzqaf`WjF@Ekrw}C$7Pm`NrBta3a(yqRpkMSYWl1}vD&Z&LdqL$!x}Gf9 z#q+{?p-89^?h|Xo^J1-dMEFiPF8m9_n(ZU$Ny*5f4q_t8O({e47 zUF}`vtjeQGuIl7N$Z?NkfNti9|A17Ie?(;ULO&k~I=Uq!iw}zri6fx>YN5CIHZ1lX z>^cZGo-ZC|mfb0o3MA9p%o=3lwL%q>mN>^q--&JDQS-zn#9&Cj0;5nzItKEO5>(5y z6Nid_2fr}s>Hll{4wQyS&q>7hUijzt!aZV)_!o?7fM|m5f59;?c!i*81qo_zQ^HtsukzJ z?`I0781n%!RqX1Y8Fn!Vqy4{|FO%U3(O#djnZcDSLZL;j%LHh;%CBJmKs&O-wgD63lWf{E0MlH1b7p3c(m{ti?|ao|FeWhDO~!K=n&r% z77A*-eGakldwBF;;Mp0{{jl^b@iBO{8ha_$bQCuTzX=aO%k2=qe-_E66k9KdqomQ& z7{oW?)K;XqVSydmz(@L$uSic|#tlN7=Os0stMgIS{Jrq?72=2Db7=Q9qS+4Nb9m%N ze>7I3#}ILe_%G&XZNx+3-^3@yV`8PaNPJHmC_aPwjc8#)f1eAD!W3xiH+aehMA<@V zgzz=`94kB}J}&kV)gDzDXoPFUZc-yW^#SQOaf3*f*@-whOnd>>%7Et!QZ{_y0qJ$j zgKp9kc*aAp;zoGeM0oGRu=r+JocLW9sWbwfFj`t8t`m2NyF@nQq#p!}cwA^FRv=20 z3wlxY5Q@SRFveoxGl5o&nbLlEejmi$Qp}HAY~3Ww?UIaQs2CyInavBaR$(PYL>tZ4 zfOq{PQhOqAn<1RQYH(EOCJN$Dm}~0lI$8J^VwT!ZM|k>!(gT>M6=JzqhPeB%m<+!n zuU9=*)sO1i>)!k@J})lAEDM7Nw?U+MReD8w1ySxbaTQ|QdjER;mGGso zUC2eGn=0l?Iarq_2qi*;Fc~Z1HKv=dMbgXj;+q)%C1DICx&W=M!5)Eh)R)P$1Qw3e+D~5|^aU-}s1iJNz0l`v*I8S^@dI2%`W5l7Q;*U&=ZwRjmuVQvR2p(qGfXZ6< z^eS;AyyHzNQ~FAr4iDKOej%2KpNYj7!6d|~Sa|;jOrF2_IUR+phlB&dH;8<13Yo$$ zi27YI`&8cVK%+~xc}edN5AI( zygqJp?&ukL`L6t#tMXU-KXI{R-td3Mjvw;|9YdHsXYQIgv;7}G{`EJfV|Hu&nxc%1wd)xE&DY0`r|($i zw8pP5%Ggl+DZ@_~KmHH#8$bJF{M=1og!calKX3E@gx|7hQ_1I>wtfNr6DPvFf&TyY zE_v9zZQFP3{Bqas9bW-I1u)f*U$|&7&b=&{_x1KYd*#x7d&+>%f>EdY@k>7h;3Uj9 z+xM3rI9Rd&5b(Kx83Fu9fTbUQ?A}hlyngiXG2rtE^4W>?6`#NDOfdBhavMtT;0D<>LTj|r4kuKjS?y7h4SjcSee#v5bfV&51W;PBa|&C9lIDfxWs7b^Z{^PA)1 zV&5G1`k3(?XzcKdWrMk=Y4Of8rqxO*D>b1tE>u-Sdo%XF`%D?CTpT)m_XU%H<_xwk{ zMy~mLHi7mOKj}AHu(Zvc3!;x#%>9ID1Nb+`sQ~YN_ucsvCf;4$8lN#f6G0U4-ur+x zMQhisTYndRf*%&p-^SRmzIfrn|AJrm>BigmiIeCvnZh6d0WbicQGHzO)U5yZq4n>- zeg3HZwCQ&~ceh{icSL}ky!<<#KWM)+cgCGhTCT6%U^rhhHis8xC^U9|dc+Z)zR8BT01+;;>eH} zK57YDmXa>dwub#F?1$(rj*N6mYS-y;qxEHBI0}$aa$0s|UJ*TcBPSh+&OLp4p~=+Z zX()GkmH4pT;bk8FbX?2gvc7ZY4?S65T5s&#QOb)cOR+Av?*(>lhaI+w?5%*Whwl}< zyvuzfo^ZXjaQVi!XD?PX_I;6>*Yt|9gAyK=VMymY>NLyb5n-+;_}ItV>q|?Q&ouKR zf@aNHK69>Xc7cOm?D}EfYw5Ern%>dr?H9IH5|@pb?da>Ugk@-hAGP%2aqMO}AN-bU zuFJLDweSl^>@x7xAN^+0+g)@s)A>F&9=}`P%eCrlmus2ptG;q=w*)7^iipfWzk_{_4i{8^3j58bihg*8uW0QBQDO8@!kP#ON8%m zSzLWuTtHttRegm;4EWfPSN^TzUX@N5d-zx3Y>*vl zntz-$%`!!H==f4z+7o53n7b4=TY7b9bFj-{tuoVS)jg|uK2laXDD&m?a>vtJ>*F25 z9MKQywIg&6i=Xe+WzGW!g6r!YRa?pot^)$o`R{I8Q<_3o%he-kgp%dE3BtnEGJUU4 zG%YPhjs&j5hZTh`!V&meF83FfGde|Ct|)W;*F2#v)qrmg9SE0qB_ ze@6$qh%YPqZ-)txf8XBsSlEkm#1yw>-N>rt*|WuAZ_Iz;i>}Ka*}iz>i$7HoIY~*a z?{L63>wX|SeT7VE0O(8PK%NxE@G}+l0|X>8paqTH?58uMg{5_wHNuT(3AzFlbJwm^ zPi4jp4M+^)p<;3O1D(yK0Tb=r2iwv;9!8^&h+t{pfTF)4AP~H;z<6DiUIkDVO6^Ri8{KdjxK-0-z8}#mCK!KwVk4jN&bf%TS zVi6SuxAO9D6$Q60T_!0CZu94VtSGpR9C=Pra651SqsC2bO`fT?WQ}lRjc{X)aAS>d zV~ud5cBD0bcZ$C|#owLc?@sY|hqICXmS{um{M}hdM(UGfq&`VT>XT%oK1oJu$=W~- zY>-H4l(-aXj7y=$xD;xPOQFWN6l#o1QS}Z#7F0h*m7*-r`VzD)^?I!X2l*6s{=`(8 zA;;x;6grdXJKY6gAomELZb(y%LjGIv+4bqNpv??(oHpfoWTieYf!HVw`6iYIZjq4! z4pIXILBZi2ozCwl)^nP*pfjCt0xwPixnvo~bF^A^yx9vxRu)h$R~nfrKhEjQ&+pk&JuI4^ zkglFZRa6(pymXCS}gKtU1l#K*wosKtP;X^VEX{JOAF&0ybbiV8DQUs3af9 zV;QVOUH$uu7TrKhBpRdfD zH;;P8_~pHTlM1gzwDEen<1GMm=tcX>2WHvQUGQQ8UR8iX-Fcf&mw7ywO(y03`+2SB zR!hsMQ8N`KZzfE}j!{7uP-Q*IPfDN;SlmH*)+{Zmhxfc6krZZ9M#(rwg_NvK#9D1y zKIst7heMZ&b?;sS=zV#^d#WGh`e}g5mw0>RnX_iU=h~b3!~9`CS1F1Uhb1H4kEr|X zv(NT^_F2&mfI?TDAJA1R2&@}$00KO>tX@5{bm77+)&&MV(dBzQX|3oH!c8U!+_My? zGvNiAS$?EnOSegV{rY{d+BTvWRO~G+MK!p)FbNSS03RzOwHi%)e0_aG8U2pWgzC~V z`W>J5=gg9O_RP*UnHDfQQ7MOu@%#~`i_Z(yp)x^h%2jeb3COzgapDLmL6@tl;%CiW z0{GbFGCu$i(G+e4AQ1@W``uv#^i6)}#rRBG-e;KpBw)ItlsyJ0L;UI35$B&VuNE&} zOy|$b_5on^Uk3qx#gv94Eo$Hmm?yGNF$wuH4pL)wUH|YyJlHkxiZ+2Av{qy<&<4Zl zQ>Spsbe4;?AM`74g2Nl)vzf1_VHLzYVZhcI+%R8hQY%`VlLslu&gAvVX{K~JY&@Fy zTnFYG>t|(Xg**cxaoQ^1>y>5iSKU1ZYH$a5#1Tb_&N(}P)j<74~8*FIP=&-x+n}3oKEBSI{`L+D>%uKoPQa zrQ5ELo&M(?-|y@GsPf{MU-w9ecn$hg`|{MEKKK1@%DX&JtsesZH@BW96~=)}#=N2w z;Pa;nhDF&=KSc@!4;`wOhYm%h*J~I$bcRAtXW7}eP$Xal+*koOHRKUb0@W~-^N(-3 ze|&W(H*cx$nHFLE@Xe1_KkT{Qsq}P~A)!-!nO>)y(<+ZH-MKRD9>carQz{*-X=f1I*a0dX?`baYWEn$YcW+o)BEl4uA_Q0?`I= zR8MV)hT0I0XsC{83|jmujM|<;ssdbs)~5}yJYdkO&Y>(&QozaUF(iNyR10XSSC{An zwF7V=&N#`4W&VyxWN%e%0UVBb(^+YcOtX{$c?AhYE!k|sYG5#7)lKlo&OGW%;qj|9 zydeSWh}-ActJJpy;uz+oVla8+dY6*sTcCI_MO)Ht`RbLcE!PjsNl;p1cSC@^X=(LW z-*PFMS??zBSjFg*)}UlhbEH_)((bh=rnG#_5+xs^#O;Z|P@BJt%lBS|sE)M!ygWG~ z9>zbqH$Oi&Pn(v85#2=akd;VFe44C?;*1%WUHGMq(OK6QE}V4Wusmzep09m-I~fwY zWcyV?t09jA<8vi#TIKTS;NT$Hn?GYl&UD&h(cmchN|Iu*|;m|^|A)4q%1-{fhi&8 z-Y^Ps!~5|*FP2!uf(2MFgFN*OKg?C?Pi8`Zp1`yHV5_bB-XHpnAKfWR(YAQ=5&4w} z9h3ycu+#grhf&}<2}U-JzVSJoX)JPLKSiAbK;iSk`JCP3<0J5k6=`^kNXSpi0SeZ~ zK1dZ)G2q*;{djb^(J;$!;M{2gb0zf`f@r|`Cr^5{`AXN7X$dQpg+0^jeQ8%+L8i1v zz(&Ribk$KY>GB1*%mD>ML{AvF@>kytKF@cfzWGM@-YV_heCNsPI$7}^fiX>K<@v@I z&t{L$i$TaJXoo0PMWj3 zMQPBU`6Ri1f&LWcV`jG8E=`HMHN)<4V1`_M40@#rCCgXfzc)@FAk$3|V_hzuzkK-! zN{&eFEJGRQq4x)P4WBOv$y4)kF%O*iIpg6Toz9)hx_-SH_D48K4$hY;;Hd!9V~Guv z(&(3@6y;Hr_bSaZ%+2%*PId_pV~Z9|D4AehRI+GMNlD3~VE`cfg(~yswmg$>KYmpT!EDGw=Z9-eCzt#dC0-?NYH;Z_V~m%u-B*b z%ryYg$=4=N#x(@VwIP$QO_|(?(iGJB5rOfM%ycn%ht}K%lsjAjP)f9|%E;E$1xS@^ z>IaoWX^U~?N{2>}LsK1Sk9E6DvQatE0nrjP02JJ*p301yVm4D5uX)TW7I)<73XG3v zeT-+F+00Tf`NI_LP*3un11NRshvY?79iV%+|>DK&#fH~70z{~M4p42+*2i%89 zv#$e6NIT#n1a2%tMM5z?gZ8VbYP6w4{eRSsl0e>%3Sj2?Gv1*?UuD4X$Wi^PuQoQ$ zojrSY##x__@bICU08`pz&z+s2y!tAAEtqkZ-A9cY#h45nIIyvCU=0GsKwq7?8oGhs z52WS;S$|&dZ01~N&Rjdo0!Z&`y%}7)HV}c9X2-yqfelC+%ynkP3}r*Efy9B@4+Ue0 zHG9+vg!21#R0mWIWLHx^x4>%}Kbzf< ziw%i*5vYt51)}=kBsAYydh_N@#-aGa1?D=`2r48!FqY(v1b0$@hm@eUS1-f?{NM#% zbptq1|I}Y|^R8Vt(JmlAr7E8Z6U@D@!Ko1%iLb9$uU<$gGU4WHY<%_AQKN_!MjHjf zYfViV8F#n@#=(Te&5vnR)84oHBN+%#Pj$EJ;o_};g*24?+|(w4JEY2Z^_mZ1QOU>v z5v5I_Y$6DxfqJ+Sqw}ZmPzFPX3>kvobYr7Ao6)5Bm_H(AOq!a``qO_)0(8&@XcXU& zA-x%&mKHYG_SMyyyS(zs$dO3Rplv!!HuwVw)CcHD&qM~D;ICm^xl&#ZCa>($X6dTrp^%mUDQ&fAes2R%N}wV!4wX!~ zNp&bQ{ld}}dBZ{Dn{%ht0q`ip_&=FPQie8t5X z__=vgO^b{FeYhi6zp{4KDpvo>>ecF?R;^mS8iU3V{lin!RjXI2at>|urvZ73*l1y# zZM#eG*}Xdu=a~GE8>XtMd9|o$8yX_dQp#={NP&6az<}I@^8>3Q96?c0fI>}+ieAM} zF)Yu3?7Iy_w?XX%hW7*T06!Xa6g8=3AXVAyQvHO{lG(@~S%Nf>BkN+EeoNk|Cps0U z;?=U+y7hhc?vj$iLO(6@b6^MVD%@3A2)WRhyinCc<2Ls60$bBcN6@G`D(M~3 z1&a5BifwM*4GHi^GtB4{{Xu*J2ocaHHWyR_0jai`2&k%=?q(DSC>!9*27)q}G8{2S zFI2$hq`84rwxXxD1peWeK}fC%yVI@)`x-xhVs|m5xI;@h)T)Vj;6Rucw2Z%cqpnMU zCN>Q~F-jH1luXslbR&R%Ktk=C;c@T?(g%cUfX!jo2LE7@0#to~^KR;rK`|P@jF1rR z0VNc{;R>im3Uk3^@c0rlc#}sAEMmU8i`lP`+zMoHGDbz-RR|YQn$dR&WPrN>zw0Kc z1~N4?lRdF#B;&G~LsFWF1bu@1=FJkb0vtL*5gFDBDVQ}d*9Ci6sF3^y)3KS|WULz&aN<~X zDErOq>Dg@e@cp!NH`HisMz|+E!(>L%YTqSDu=1srP7bt$cEF)ypB3!zSa;wKq(^ zl7VWwrrq%B=vtLURi0soHMAfUSnBB%1<36=k%uBKY=tIFfgn#cwf z6N39!<+V9$Dyf_`i8A$7+oS09EGznnDklWzRc&uyHfxfrK$aJ7Ia*(p6;V@_7vWB8 zBvBjks)e@H2><+n{;x+>w+M^JXSIh`r9?EMpEKrKJYM3r2%IEoRo8@}uqvyqMUL5V<%NlsL$=Q+)|^eS zZJ&5s@4rQrnJ4}-v3jC)(%Ff(CTb=bCq+zZJ1J&T=SfdYdTG+XCU%?@1A(ftf+}LF zvNUuvR>U-U8lkp^rk3u|ft%Z2fm;*d3>CHb6XUT|u4DI2?EWjee-c}P|2I(zX}QYD zld7vLVg}&v8}F~Gsjli4T-84qcj$Ox(xhV`M`eF1f?ZWgFn%gxqRQ`q+`! z;ugQe2hspoUuh@^tY;IS|@8weA!KM3cUrdU~*Xu5AB^gR9oF_r! zRB&6l_oTvciuQnZq4uxZKWP=szcim}-qDQEq-o+coaQutkl)NN({n00@3M{9V=oc?q z@Sf$A)@9XhnXKnE;Vzr@JTiQUtAn=f6x4)VI6k>(ou){)Mzcy+fWo?>HASwPEt3m$ zD>R>6y|EGvV7_C+6&Df zZJxY@QdaIe1)SPWDMHhAx(&se4La&_%LP{dkXr95b``LXzNYPz6*|`n`V`bccHP)1 z_$z>rUAG=Pj7|5yu)D^h&HDjl& z(dbrb3ebO%me;IVWvsn$bjqp~D_7xKQQ%s|I!4vHf;H>VY~>2qN9bN(vwli}=A#t_ z1-Oa|Ru$1zdm&`%Qr8mK2l%|_THsoQ3soQCaN#o7Qrzj%*PNQNOoJ@ZsfZ;mHWp#3 zmeDDn=w!W2lWS45t7EL~xdSO4+L(rwmkgZ*g?lrEETKJgWL*kiSHhlwS!Z&D&HWaNREkW1l z?^Jd88O;jaijO|34mlHqu9vx%qZTc-F_*3g&}?iy+=c9UN(0 z(MDIXZo@jwx^=Eix{aFR4eK_s8qK;58(mxA2OGB(Z*rA@c2mh2e(P4(cGtG8B}l{0 zXlgRDP8C>JS=U4sMV{dcGzG3Oy6NHh1!uH1Te42Qr@6?5f1CBU`R^^D+z(mEEFwUH zgBgn#BU!KjM@xxfflI$gvv^6(w^^_g}JNQuyI4trUKptN2L6^Ed{QP1*evWxirgH;kN1%+&(FY zT&{5~Uw(=&u<5h1Z{d%u6o0L&)4;3V&(@+;&_P$gGTZo)Z6#YaZP~Q3cq3G^VO?EG zQ6x3fZpsb;;j$(CvX3-%d_f1z-t2ogW5n{0_>UIz_yTP45`M{iWHhIER|m~6*;-B) zzJmXx+Ek=DTeQ{)9vw7SvV%FDX~SpyXB+s79Ez;gn4{$k5i3{mt5))>iufXA*nRB= ze#2V+l(xXC>ye}9_=p0VrC&}kXEYTgB6Eu~hHNV0i#KBC>Q3z{MHlFJC@~8y;+L=c zXv2z)r!)mt;X? zh7UGzRt;wftHa;_!XFzu#m`ODZDItc4fyLdrw-xqLjgJ@?94ue+1G4PC?CJ?ImC zEV@!Zy#oImvv%{P@lB5Zv$LYr&-ewH-=?2@n_l;fA1t6P@Z@C0=ga#mzcpOm|NG=u zpyH30_k9Ijl=uDPNzlETQkVA$gSjWqN>o37e0v{Q(c{NJWhZNaI%}TUgLFcf-yA?3 T;1Jd*7!85Z5Eu=CVG#lVgon|K literal 0 HcmV?d00001 diff --git a/Sega - SG1000/rtl/roms/BombJack(32).hex b/Sega - SG1000/rtl/roms/BombJack(32).hex new file mode 100644 index 00000000..74aa2418 --- /dev/null +++ b/Sega - SG1000/rtl/roms/BombJack(32).hex @@ -0,0 +1,2049 @@ +:1000000031FFC3ED560150C3CD467A212B00CD7888 +:100010007AFD2103C02100C001C003AFCD507ACDCD +:100020008B73F33E92D3DFFBC36C0001037603FFB7 +:100030000EA2024F0BC2292B08D9DBBFA7F2510039 +:100040002100C0CB462003CDB86F2A04C023220470 +:10005000C0CDB806D908FBC93E0132123DC93EFFEA +:1000600032133DC33B2BFD360030ED4531FFC3CD90 +:100070008B732178002201C0212B00CD787A21BC1E +:100080000501C00011400ECDF87A116405CD5A7AF1 +:100090000602CD8D7A2108C07E34FE18200236007B +:1000A00026006F118C051911402ECDA007110800F4 +:1000B0001911802ECDA0071108001911C02ECDA056 +:1000C00007CD7F0730CF212C012201C021003B3E0C +:1000D000D0CD0E7B3E873210C176060221003BCD8B +:1000E000977A21BC2111000001C807CDF87A21BC04 +:1000F0002111000801C807CDF87A21BC2111001098 +:1001000001C807CDF87A2100383E20010003CDE96F +:100110007A117C20CD5A7A2100203E90010018CD22 +:10012000E97A210020CD6E01210028CD6E01210049 +:1001300030CD6E0121D22911001801E007CDF87AE7 +:10014000216601110C38010800CDF87A212D3811F3 +:1001500056C00606CDBD7A2133383E30CD0E7B0623 +:1001600002CD8D7A185648493D53434F5245E53EDE +:10017000D001C000CDE97A3E32014000CDEE7A3E9A +:10018000B0016800CDEE7A11BC29011000CDFC7AD7 +:100190003EF0016001CDEE7AE1010803093E200145 +:1001A0007800CDE97A010600CDFC7A3EB00112005C +:1001B000CDEE7A3E70010F00CDEE7AC90150C3CD6D +:1001C000467ACD7F07F5CDCF04F130F6C2CC0321BE +:1001D000B0042201C02164C07EFE01CA5F02FE029B +:1001E000CA6F023E017723773E053262C021B20218 +:1001F0002201C12127C03602210EC036012160C074 +:100200003618233601232336012166C00118003E2B +:1002100001CD507A1104002124C0060C36C01910FB +:10022000FB215FC03600210DC0CBF6CD860C21032B +:10023000C13A03C1C6803203C138422137150103D8 +:1002400000110638CDF87A1180C02125380606CD78 +:10025000BD7A2159C03600210DC0CBF6C3BE033E86 +:10026000027723773E043262C0211203C3F0013EBD +:10027000037723773262C0216E03C3F001213A1560 +:10028000010300110638CDF87A11A3C018BC3A1C3E +:10029000C02A01C1BE200F233A1DC0BE20092B0178 +:1002A0000400092201C123237E3209C0237E320AC1 +:1002B000C0C95E78000090C60002A8060008A60625 +:1002C00001004006000144060100544A0102764A3A +:1002D000000052E8010318E800001ED0010834D0E5 +:1002E000010046880108608800003888010052E853 +:1002F0000102A8E8000044E8010120B200092074CE +:100300000008222C0108302C0000182C01000000ED +:100310000108A878000014780101262E0108300891 +:10032000000814080100369401028094000014941F +:100330000101205E0108805E0000804600086C30EC +:100340000108A8300000A87A00025A7A010130E8BA +:10035000000314E8010024A8010858A8000070E078 +:1003600000024E3201085832000000000002606EA8 +:1003700000083C9001036A90000072B60102A8B622 +:100380000000A808000814080101244C01022E6E88 +:1003900000023E6E01004E5E00085C5E00006E16BC +:1003A0000108A888000214E8010324A60108664891 +:1003B0000008A8E800026AE8010000000008CD5A21 +:1003C00004CD7F0730F8C2C600C36C00F52100C021 +:1003D0000154003E00CD507A215AC0016603CD5031 +:1003E0007AF12159C0CBFEFE032802CBBECB862179 +:1003F00027C03602210DC03600210EC03601216013 +:10040000C036182336042336052336012A57C07D0B +:10041000B720032101012264C02100002257C0211E +:1004200066C00118003E01CD507A117EC0215BC02C +:10043000012300E5EDB0E111A1C0012300EDB021E1 +:1004400024C0110400060C36C01910FBCD860C31F7 +:10045000FFC3CD7C06CD5A0418F52159C01109C03F +:10046000CB462803110BC0CDB407CD0C16CDBE1D55 +:10047000212BC07EFE063E0620023E0D77110400B1 +:10048000212FC0060A7EFE092810FE00280CFE0659 +:100490003E0620023E08771910EB21FDC07EC68083 +:1004A00077380D211CC011003B013800CDF87AC906 +:1004B0002150C011003B060EC5010400E5D5CDF862 +:1004C0007AE1D101040009EBB7ED42C110EAC93A63 +:1004D0000AC0B7210DC02003CBAEC9CB6EC0CBEE96 +:1004E00021FEC034CB4F200135CB57C87EFE03C060 +:1004F000210DC0CB66CBE6C82157C036012336019B +:100500000602118539EBCDBD7A2109C07EB72807D7 +:10051000F1F13E01C3CC03237EB72007210DC0CBF0 +:100520008E18E63A0DC0CB4F20DFCBCF320DC0CBBB +:100530004E3A57C0201ACB5E28CFFE01280DD601B7 +:100540003257C02158C07ED60127772158C018B035 +:10055000FE3228F7C6013257C03A58C0C6012732CA +:1005600058C018E7036B05760581052C3908C8C902 +:10057000CACBCCCDCECF4C3908D0D1D2D3D4D5D65E +:10058000D76C3908D8D9DADBDCDDDEDF90909090CB +:10059000909090909090909090909090909090905B +:1005A000909090909090909090909090909090904B +:1005B0009090909090909090909090900203030370 +:1005C0001F0F030300001F0FC3E307060000E0F046 +:1005D0003030303000000000000000000000405FBC +:1005E0007F7F646E0000030347CFDCB80C0E0E0E55 +:1005F0000C1C1C1C00000000000000003F1F030337 +:100600000F0F0F1BEEFD1C180FDFFC1830F0E00081 +:10061000C7E3E160071E3CF8F0E0E079FF60607F2F +:10062000DF0F0FCEF0E0E0C080000000183C3E3746 +:10063000736361E100000000008080C01B1F3F3732 +:1006400071E0C000181C1FCFFC7F070060E0E0C114 +:1006500007FFFFFF383C7CFFFFF8C000FC3830C0CC +:10066000000000000001010303070E1CC0C08080D1 +:1006700000000000C0E06070383C1E0FFD7E00B737 +:1006800020192100C0CB4620F32A06C0232206C031 +:100690002104C07EFE0138F1AF77C9010001CD46CB +:1006A0007AFD350020F52100C0CB462804CB861802 +:1006B000D8CBC6CD9A7318C4110000AFCD7307B064 +:1006C00020713E01CD7307CB572802CBD3CB5F28D7 +:1006D00002CBCB3E02CD7307CB4F2802CBC3CB67F7 +:1006E0002802CBE23E03CD7307CB572802CBDBCBEE +:1006F000672802CBEA3E04CD7307CB6F2802CBCA32 +:100700003E05CD7307CB6F2802CBD2CB582802CB46 +:10071000EB3E06CD7307CB6F2802CBDACB772802EE +:10072000CBC2CB502802CBE33E07CD7307B02803E2 +:100730001100003E07CD73074FCB11CB10CB11CB6F +:1007400010E63F28015778B72801582109C072CD1B +:10075000530773E5AF47ED674F216307097EE12338 +:100760007723C90001040008090C080203060200EF +:10077000010400D3DEDBDD2FE60F47DBDC2FC9CD24 +:1007800089062109C07EB73E012013210BC07EB728 +:100790003E03200A2A01C02B2201C07CB5C037C904 +:1007A000EBCD237B0608C5010800D5CDFC7AD1C16D +:1007B00010F4EBC9210EC035C036012105C17E3DC4 +:1007C000200C3A10C0D60138033210C03E05772104 +:1007D0000DC0CB7EC27B0BCB46C25E0ACB4EC2F5B0 +:1007E00009CB76E5D5C48E02D1E11AB7C2D709CBC1 +:1007F0009613E5D5210DC0CB76C48E02D1E11ACB7C +:100800004FC27809CB5FC23A092A1CC02220C03AE5 +:100810001EC0C6043222C0211CC0EB1A67131A6F17 +:1008200011080819CD831F210100FE5D281CFE5B05 +:100830002818FE5E2813FE5C280F012000B7ED4249 +:10084000FE5F2806FE60C236092BB70120003A1C65 +:10085000C0D61838091804D60838030918F93A1D03 +:10086000C0D60838032318F9E5CD7D0FD119E5214D +:10087000603819EBE1D5E5D5010200CDF87AE10148 +:10088000200009EBE109010200CDF87ACD6F0FD10C +:10089000E50100007E23BB20047EBA2804230C1847 +:1008A000F32166C0097E3600FE02D1204FD5160125 +:1008B000CD880FFE01D1201034EBCB210600095E5C +:1008C000235621EC14CD9E0F215EC0343E20CD5F17 +:1008D000203E8F3210C106023A10C0B720103A5F96 +:1008E000C080FE1128123006325FC0CD0A1121608F +:1008F000C035CA7012C336093E1018ECE5D5C516CE +:1009000002CD880FC1D1E1FE02280BD51601CD889A +:100910000FFE01D1280E3E10CD5F203E8E3210C159 +:10092000060118B434EBCB210600095E235621ECF6 +:1009300014CD9E0F18E0CDF60FC921FFC0347EFE06 +:1009400004201336003E8C3210C1211EC07EFE20D2 +:100950003620200236A0D53A1CC0C608673A1DC012 +:10096000C6016FCD831FFE1BD1CA0908FE2ECA091E +:1009700008211DC03535183C2100C1347EFE0420FD +:100980001336003E8C3210C1211EC07EFE28362850 +:10099000200236A8D53A1CC0C608673A1DC0C60F4B +:1009A0006FCD831FFE1CD1CA0908FE2ECA0908217B +:1009B0001DC03434D53A1CC0C610673A1DC0C608E5 +:1009C0006FCD831FFE2ED1CA0908FE1ECA09082159 +:1009D0000DC0CBC6C30908CB56C2F107CBD6CBCED0 +:1009E0003E20320FC0211EC03670CD48203E8D32D1 +:1009F00010C1C30908E5D5CB76C48E02D1E11AB780 +:100A00002051CB96210FC035283F011EC03E500219 +:100A1000131ACB472045CB57280135CB4FF5C4607F +:100A20000BF1CB5FC41F0B211CC03535D53A1CC060 +:100A3000C602673A1DC0C6086FCD831FD1FE1928B4 +:100A400005FE2EC20908CD4820210DC0CB8ECBC695 +:100A5000C30908CB5620ADCBD618F13418BDE5D567 +:100A6000CB76C48E02D1E11AB7201DCB96210FC0E0 +:100A70003604211EC036582B2B3434131AF5CB47BD +:100A80002059CB572019181C210FC07EFE053802B3 +:100A90003E043D7728D7211EC03670131A18063E33 +:100AA00001320EC0F1CB4FF5C4230BF1CB5FC4DF95 +:100AB0000A3A1CC0C610673A1DC0C6086FCD831F16 +:100AC000FE2E2805FE1EC20908210DC0CB863E0A57 +:100AD000320EC0211EC03670C309083E0218C23E45 +:100AE00048321EC03A1CC0C604673A1DC06F0604D7 +:100AF000C5CD831FC1FE1BC8FE2EC81100041910EE +:100B0000EF7CD608677DC6106FCD831FFE1C280AB8 +:100B1000FE2E2806211DC03535C9CC482018F53ECB +:100B20003018BE3E40321EC03A1CC0C604673A1D93 +:100B3000C0C6106F0604C5CD831FC1FE1CC8FE2EA3 +:100B4000C81100041910EF7CD608677DD6106FCD50 +:100B5000831FFE1B280EFE2E280A211DC03434C917 +:100B60003E3818C1CD482018F1210DC0CBFE3E9073 +:100B70003210C1063CCD890610FBC93E60321EC052 +:100B8000C6043222C0211CC07EC602773220C0EBD0 +:100B9000C61067131AC6086FCD831FFE2E2803FEEA +:100BA0001EC0214CC036D03E68321EC0C604322260 +:100BB000C0211CC011003B013800CDF87A3E9132B3 +:100BC00010C1013C00CD89060B79B020F8210DC081 +:100BD000CBBE21003B3ED0CD0E7B2161C0352846E7 +:100BE0002166C006183E02BE2001352310F92159A6 +:100BF000C0CB7E282B117EC0CB46280311A1C0E5B7 +:100C0000215BC0012300EDB0E111A1C0CB46CBC6F2 +:100C10002805CB86117EC0EB115BC0012300EDB02F +:100C2000CD860CC34F04210DC0CB762033217A0C26 +:100C3000118A39010C00CDF87A017800CD89060BB4 +:100C400079B020F82159C0CB46117EC0280311A1EC +:100C5000C0215BC0010300EDB02159C0CB7E200351 +:100C6000C36C00CB4621A7C028032184C07EFE00B0 +:100C700028EE2159C0CBBEC3F50B2047414D45207E +:100C8000204F564552203A64C03DFE0A3804D60A29 +:100C900018F8113D15CD7120EB21C6C0EB7EEB7726 +:100CA000FE0F2807010400091318F1060221003B7A +:100CB000CD977A11F0143A62C03DCD712001D00475 +:100CC000113003E5CDF87A11300B01D004E1E5CD08 +:100CD000F87A11301301D004E1CDF87A11FA143A00 +:100CE00062C03DCD712001D004113023E5CDF87AEA +:100CF000E1E511302B01D004CDF87AE11130330158 +:100D0000D004CDF87A21C424018000118007CDF8E9 +:100D10007A3E20018000218027CDE97ACD7D0F1118 +:100D20006038018002CDF87A0101003E1821403878 +:100D3000CDE97A3E19011E00C5CDEE7A3E1A0101B9 +:100D400000C5CDEE7AC13E1D21E03ACDE97AC13E23 +:100D50001ECDEE7A0101003E1FCDEE7ACD6F0F1150 +:100D600066C00618C51AB7CA270FD55E235623E5F5 +:100D700021E814CD9E0FE1D113C110E81192313A50 +:100D800064C03DCD71207ED601D22C0F11013821D7 +:100D90000415011D00CDF87A112E3821211501020C +:100DA00000CDF87A212538115DC00606CDBD7A3E0A +:100DB00030212B38CD0E7B3E20010500213B38CD64 +:100DC000E97A2161C07EFE0638023E064F06000D1C +:100DD00028083E2D213B38CDE97ACD550F3A59C030 +:100DE000213715CB47010300280109110638CDF83A +:100DF0007A1165C0211B380602CDBD7A3E20010262 +:100E000000212C38CDE97A010200213238CDE97A6F +:100E10003A5FC0FE1020053E00325FC0CD0A1106C9 +:100E200002CD8D7A210DC0CB762054211B0F118D60 +:100E300039010600E5CDF87AE10106000911AD3966 +:100E4000CDF87A3E813210C1017800CD89060B7948 +:100E5000B020F8CD7D0F112D0119010600118D393B +:100E6000E5D5CDF87AE101200009EBE109010600A2 +:100E7000CDF87A116C39CDB10F117139CDB10F3A6E +:100E800064C006823D2808043D2804043D20F37810 +:100E90003210C176211CC0365E233678233670238B +:100EA00036042A1CC02220C02122C03674233606F4 +:100EB00021C4C036012110C0360021C5C036013A18 +:100EC00064C00605FE15380605FE2938010521F621 +:100ED000C07023360023360021C6C022EFC03EC0BA +:100EE000060C1104002124C0771910FC3A5CC021C3 +:100EF0005AC0773E00ED6721F9C03640FE0530024A +:100F000036003A5CC0215AC0773E00ED6F32FCC01B +:100F100021FAC03600210DC03601C9212223242523 +:100F2000262728292A2B2C2323C3780DF5234EAFFF +:100F300047235E235623E5BE3E2EEB2008CDE97AFB +:100F4000E1F1C3870DCD4A0F18F6CD0E7B112000BD +:100F5000190D20F6C93A63C0471100002123151965 +:100F600011040010FA111038010200CD9E0FC93A89 +:100F700064C02198361130003DC81918FB3A62C090 +:100F800021F83F11800218F006180C79FE18280786 +:100F9000237EBAC810F4C90E002166C018F30102FE +:100FA00000C5D5CDF87A012000E109EBC1CDF87A72 +:100FB000C93E03325AC0D5CD6F0FD1D506180E00E9 +:100FC000237E2BBA20097EBB281A13BB28161B23AD +:100FD000230C10ECD13A5AC03D325AC0C82120002F +:100FE00019EB18D206002166C0097EB728E621E871 +:100FF00014CD9E0F18DE060C2124C03A1CC0C60872 +:10100000BE3009232B1104001910F0C9D610BE30D0 +:10101000F4233A1DC0C608BE38EAD61030023E019D +:10102000BE30E1237EFED82877FEF42833FEDC3084 +:101030000DFEF03009FE78C8FE7CC8C3690BF53E92 +:10104000943210C1F12128C036C021FAC03600D632 +:10105000DC0EFF0CD60430FB7911CC11CD7120E9E8 +:101060003E943210C13EC02B2B771166FFB7ED5274 +:10107000CBFE2111C0347EFE06300E5F160021D754 +:1010800011197ECD5F20C3F60F21DF11FE07300A54 +:1010900021DD117EE5CD5F20E1237ECD682018E6BD +:1010A0003E883210C1763E053205C13E443210C042 +:1010B000AF3211C0237E0650FE0228470601FE040F +:1010C0002802060278E5CD6820E17E321FC03C325E +:1010D00023C0212EC0E51112C0060A7E121323235D +:1010E000232310F7E1060A3EF477233E09772323F2 +:1010F0002310F43EC03224C021F9C0CB86215FC04A +:101100003600C978E5CD5F2018BF3A5FC0B7282107 +:10111000FE09112000301B211238E5210D38C6EFE1 +:10112000CD0E7B19CD0E7BE1C608CD0E7B19CD0E01 +:101130007BC94F3EF7210D38CD0E7B19CD0E7B219B +:101140001238CD0E7B19CD0E7B211338E5210C38DA +:1011500079D60818C93E01CD68202163C034CD5529 +:101160000FC93E03CD68202161C0344E06000B2814 +:101170000F79FE0638020E053E2D213B38CDE97A67 +:10118000C93E05CD68202163C03605CD550FC93E47 +:1011900005CD68202164C035237E3D27772162C0BC +:1011A0007E3CFE0638023E0177215EC03600CD8BC4 +:1011B0007301B400CD89060B79B020F8C3F7133E54 +:1011C00005CD6820215EC03619C370125511621119 +:1011D000E0118F11BF1181111020305080200102C9 +:1011E0003E11215BC00603CD247A3E11215CC0066E +:1011F00002CD247A21003B3ED0CD0E7B2140380128 +:10120000C0023E20CDE97A219F15011400116638F5 +:10121000CDF87A21B31501180011E438CDF87A2100 +:10122000CB15011000112639CDF87A21DB150113F9 +:1012300000116639CDF87A21EE15010D0011A6399D +:10124000CDF87A21FB1501110011663ACDF87A0E1E +:101250000921E3383E2ECD4A0F0E0921FC383E2EDF +:10126000CD4A0F21033A3E2E011A00CDE97A180C1F +:10127000211CC011003B013800CDF87A210DC0CBF4 +:1012800076C26C003E863210C176012C01CD8906F3 +:101290000B79B020F821003B3ED0CD0E7B215EC003 +:1012A0007E3600D614DAF713F5218023CD2D1421D4 +:1012B000802BCD2D14218033CD2D14118003213CA2 +:1012C00029E5018000C5CDF87A11800BC1E1E5C5A3 +:1012D000CDF87A118013C1E1CDF87A3E2001C00229 +:1012E000214038CDE97A21A814010E00110939CD29 +:1012F000F87A21B614010A00114C39CDF87A21C0D0 +:1013000014010E0011A939CDF87A21CE14010A007A +:1013100011ED39CDF87A21A5383E70CD0E7B3E71A6 +:10132000011400CDEE7A21C6383E78CD0E7B3E7991 +:10133000011200CDEE7A0E0C3E7321C538CD4A0F56 +:101340003E75CD0E7B0114003E76CDEE7A0E0A215D +:10135000E6383E7BCD4A0F3E7DCD0E7B3E7E0112B0 +:1013600000CDEE7A21D9383E7ACD0E7B3E7C21F934 +:10137000380E0ACD4A0F3E7FCD0E7B21BA383E7221 +:10138000CD0E7B3E7421DA380E0CCD4A0F3E77CD60 +:101390000E7BF1FE05CA3E14F5C620215AC077EB3C +:1013A0002149390602CDBD7AF15F160021D8141902 +:1013B0007E215AC077EB21EB390602E5D5CDBD7A07 +:1013C00001B400CD89060B79B020F83E8E3210C1F1 +:1013D000D1E1EB7ED601381F2777EB0602E5D5CDAC +:1013E000BD7A3E013263C0CD6820010300CD89067D +:1013F0000B79B020F818D42165C07EC6012777216B +:1014000064C07E3CFE3320023E29772162C0352035 +:101410000236052166C0061836012310FB2163C081 +:1014200036012160C03618CD860CC34F043EBA0188 +:101430004000CDE97A3EFA014000CDEE7AC921DCC8 +:1014400014114A39010C00CDF87A21EC393E30CD27 +:101450000E7B215AC03610EB21EA390602E5D5CDC4 +:10146000BD7A01B400CD89060B79B020F83E8E32EA +:1014700010C1D1E1EB7ED601DAF7132777EB060234 +:10148000E5D5CDBD7A3E100602215CC0CD247A217F +:101490005DC0EB2125380606CDBD7A010300CD895C +:1014A000060B79B020F818C5594F553C56452020F9 +:1014B000474F5454454E4649524520424F4D4253A2 +:1014C0005350454349414C2020424F4E55533030F4 +:1014D0003020504F494E545310203050434C45411A +:1014E000522020434F494E205D5E5F605B5C5F6031 +:1014F000784C984E485208574859185E3860E8634F +:10150000A868E86A534944453D2020202020202037 +:101510002000012020202020524F554E443D202005 +:101520003D02030405060708090A0B0C0D0E0F10F7 +:10153000111213141516174F4E4554574F51155786 +:10154000155E1566156F1578157E1585158D159622 +:101550001580818181850F8082828282850F8083C0 +:1015600083838383850F80848484848485850F802E +:10157000858585858585850F80828284840F80811D +:10158000828384850F808282828484840F8081811B +:1015900081818585850F80808383838383830F5931 +:1015A0004F5520415245204C55434B5920504C41FA +:1015B0005945522E20524F554E442053454C4543D9 +:1015C00054204D455353414745202E5055542049F2 +:1015D0004E204A4F59535449434B3B524947485474 +:1015E0003D3D2054485245452054494D4553444FB4 +:1015F000574E3D3D3D2054574943454C45543C537F +:10160000205452592047414D45202020210DC0CB68 +:101610007EC021C4C035C0E53A64C0013200FE0B73 +:10162000380A016400FE1F38030196002AF7C0093A +:10163000300321000022F7C0E13AF6C0DE00FE02CE +:1016400030023E027732F6C03A10C0D60130652A29 +:10165000EFC03EFFBC200721C6C022EFC0C9E50194 +:101660000400097EFE0F20032100FF22EFC021C5E8 +:10167000C035E1CA4217CB7E20D5E51166FF19EBD4 +:10168000E1233520CAE5D51313131AFE0620033EC5 +:1016900001122BCB76C2C4176E26002911A8161989 +:1016A0005E2356EBD1E918A798189D19451A151B0A +:1016B000E11B7E1CB7206A3E04321FC03E06322367 +:1016C000C03E0132C5C0212EC01112C0060A1A77D1 +:1016D000132323232310F73E20210C38010200CDD1 +:1016E000E97A01200009C5010200CDE97A2112380A +:1016F000010200CDE97AC109010200CDE97A060AAA +:10170000212FC011040036061910FB3A64C006826E +:101710003D2808043D2804043D20F3783210C176AA +:10172000C9FE10D021F9C0CB7E2811CBBE3E0B06DE +:101730000A212FC0771104001910F9C9CBFE3E0011 +:1017400018ED21C6C07EFE0FCA4F16CB7E200611B3 +:1017500004001918F0CBBEFE80F53A64C087473EFE +:10176000FF9032C5C0F1282ECBF6E523360A23CBF5 +:10177000F6233630E11166FF193A06C01F013E1804 +:10178000380301AE1870237123367C2336063E8958 +:101790003210C1C34F161166FF193A06C01F361822 +:1017A000380236A8233A1DC0FE8001E80038030144 +:1017B000080471237023360EB7ED5236012B2B36F9 +:1017C00001C34F16D1E1360123CB76C24B18237EDD +:1017D000B72801352B3E0C13CDA81D1BE5D51A6F7C +:1017E0001B1A67CD831F444DD1E1FE1B2854FE2EEA +:1017F0002850E5D521100009CD831F444DD1E1FECD +:101800001C2843FE2E283FE5D578C6106779D608F8 +:101810006FCD831FD1E1FE2ECAA616CB56CB9620E4 +:1018200002CBD6237EB7202036302BCBF61AC60843 +:10183000CB562002D61012EB2336B03E8A3210C1AE +:101840001806CB961802CBD6C3A6162B360123EB6F +:101850007EC6087723237EFE7C20053E8A3210C197 +:1018600036B02B2BEBE5D51AC61067131AC6086FD6 +:10187000CD831FD1E1FE2E2002CBB6FE1E20C92350 +:1018800036012B2B360A2BCBB6EB2323367C2336A3 +:10189000063E8B3210C118B0E136022323352033C7 +:1018A00013132BEB36082B3A06C01F3815463A1D8A +:1018B000C0EB1BCB9ECBD6903802CB96233610C301 +:1018C000A6162B463A1CC0EBCBDECBCE9038EDCB28 +:1018D0008E18E92BE5D51AC60267131A6F0607CDD5 +:1018E000831FF5D9F1D1E1FE1BCA8919FE2ECA89E1 +:1018F00019E5D5D91100021910E5D91AC6026713E6 +:101900001AC6106F0607CD831FF5D9F1D1E1FE1C71 +:10191000287DFE2E2879E5D5D91100021910E7D9C6 +:101920001A67131AC6026F0607CD831FF5D9F1D1C6 +:10193000E1FE192860FE2E285CE5D5D911020019B8 +:1019400010E7D91AC60F67131AC6026F0607CD83B0 +:101950001FF5D9F1D1E1FE1E283FFE2E283BE5D52B +:10196000D91102001910E7D1E1CB5E2009133E0026 +:10197000CDA81DC3A616CB4EEB200A343423237EFC +:10198000C6807718EE353518F4CB96E5D51891CB8F +:10199000D6E5D5188BCB8E18D0CBCE18CCE136023D +:1019A00013231A473A1DC0B8CBD63802CB961BE595 +:1019B000D51AC60C67131A6FCD831FD1E1FE1B2801 +:1019C00056FE2E2852E5D51AC60C67131AC6106F9C +:1019D000CD831FD1E1FE1C2842FE2E283E133E146B +:1019E000CDA81D1B1B237EB720522B1A473A1CC0C3 +:1019F000EBB8382B3434EBE5D51AC61067131AC68A +:101A0000086FCD831FD1E1FE2E2804FE1E2005CBDA +:101A1000CE233605C3A616CB9618C2CBD618BE3534 +:101A200035EBE5D51A67131AC6086FCD831FD1E1D0 +:101A3000FE192804FE2E20DCCB8E18D5352BCB4E7C +:101A4000EB20DC18AFE1360123237EB7C2051B2B48 +:101A5000131A473A1DC0CB56EBC2FC1AC60690308B +:101A60007C3535EBCBD6E5D51A6F1B1AC60867CD8A +:101A7000831FD1E1FE1B286CFE2E2868E5D51AC60F +:101A80000F6F1B1AC60867CD831FD1E1FE1C285CAF +:101A9000FE2E28581BCB4EEB20563434EBE5D51ADE +:101AA000C60F67131A6FCD831FD1E1FE2E2845FEA6 +:101AB0001E2841E5D51A67131A6FCD831FD1E1FEA9 +:101AC0002E2835FE19283113133AF4C0C604FE0C33 +:101AD00020023E0032F4C0C6C012C3A6163434EB56 +:101AE000CB961882CB962336052B18A8CBD618F6A2 +:101AF000353518A8CBCE18CFCB8E18CBD60690DABA +:101B0000611AC3DD1A352B13CB56EB20053434EBA9 +:101B100018823518FAE1360123237EB7C2D11B2B78 +:101B20001A473A1CC0CB4EEBC2AC1BC60690DAB1CA +:101B30001B3434EBCB8EE5D51AC60F67131A6FCD65 +:101B4000831FD1E1FE2E2871FE1E286DE5D51A6790 +:101B5000131A6FCD831FD1E1FE2E2865FE1928616F +:101B600013CB56EB205F3434EBE5D51AC60F6F1B51 +:101B70001AC60867CD831FD1E1FE2E284CFE1C2813 +:101B800048E5D51A6F1B1AC60867CD831FD1E1FE41 +:101B90002E283AFE1B2836133AF5C0C604FE0C2048 +:101BA000023E0032F5C0C6B412C3A616D606903067 +:101BB000803535EBCBCEC3361BCBCE2336052B1869 +:101BC0009FCB8E18F63535189FCBD618CACB9618F2 +:101BD000C6352BCB4EEB20053434EB188335351846 +:101BE000F9E1360123CB4EEB20783434EBE5D51AFE +:101BF000C61067131AC6086FCD831FD1E1FE1E28D9 +:101C000065FE2E2861E5D51AD60167131AC6086F3E +:101C1000CD831FD1E1FE2E2851FE19284D13CB563E +:101C2000EB284B3535EBE5D51AC6026F1B1AC608F3 +:101C300067CD831FD1E1FE1B2838FE2E2834E5D561 +:101C40001AC60E6F1B1AC60867CD831FD1E1FE1C92 +:101C50002824FE2E2820131AFE1C281E3E1C12C308 +:101C6000A61635351886CBCE18B3CB8E18AF3434C4 +:101C700018B3CB9618E0CBD618DCC68018E0E13656 +:101C80000123233520732BEB3A1CC0477EE521F25C +:101C9000C03601B83045C6203806B830033418F6CF +:101CA000EBCB8EEBE1233A1DC0477EE521F1C03638 +:101CB00001B83036C6203806B830033418F6EBCBFE +:101CC000962336402BD1133AF3C0C604FE0C2002F3 +:101CD0003E0032F3C0C6CC12C3A616D6203806B8D2 +:101CE00038033418F6EBCBCE18B9D6203806B838FE +:101CF000033418F6EBCBD618C82B13E5D51AC61447 +:101D00006F1B1AC60867CD831FD1E1FE1CCA981D40 +:101D1000FE2ECA981DE5D51AD6046F1B1AC6086791 +:101D2000CD831FD1E1FE1B2873FE2E286FE5D51A47 +:101D3000C6086F1B1AC60C67CD831FD1E1FE1E2893 +:101D40005FFE2E285BE5D51AC6086F1B1A67CD8388 +:101D50001FD1E1FE2E284DFE1928491BCB4E3AF229 +:101D6000C0EB201E86FEAC38023EAC7723EBCB5690 +:101D70003AF1C0EB201786FEE838023EE877EBC365 +:101D8000C61C477E90FE1630E23E1618DE477E9057 +:101D9000FE0830E93E0818E5CBD61891CB96188D91 +:101DA000CBCE18B7CB8E18B3CB56EB20063434C647 +:101DB000041802353523BE2002C68077EBC9210DF9 +:101DC000C0CB7EC021FBC035C0360221F9C0CB4656 +:101DD000C2F81E3A5FC0FE10D2C31E3AFAC0B7C2A4 +:101DE0008C1F3EC03228C0CB7628773A5CC0215A7F +:101DF000C0773E00ED6F21FCC0BE2819773A5CC069 +:101E0000215AC0773E00ED6721F9C0CBB6FE0538F8 +:101E10007BCBF61877215BC03E77BE232836BE23E6 +:101E20002858BEC02B3E70BEC03EE4212AC0772396 +:101E3000360B21F9C0CBEE16B03A06C01F38041697 +:101E400040CBAE1E18ED5328C03EF032FAC03E9390 +:101E50003210C1C97E215AC0773E00ED67FE0728C7 +:101E6000C8C93A5CC0215AC0773E00ED6721F9C06D +:101E7000CBF6FE053016CBB6189B7E215AC0773EB6 +:101E800000ED67FE0728A2215CC018983A5CC021CB +:101E90005AC0773E00ED6F32FCC03A06C006ECFE39 +:101EA000FD301406E8FEFB300E06F0FEF9300806A1 +:101EB000E0FEEF300206DC3A63C0FE05CA151E786C +:101EC000C32B1ECB8ECB963A06C00601FE3F380EC2 +:101ED0000603FE7F38080605FEBF3802060778862F +:101EE00077EB2124C00178607023712336D8EB3E54 +:101EF000923210C176C3DB1D3A24C0D602CB4E20ED +:101F000002C6043224C03A25C0D602CB562002C6EF +:101F1000043225C02124C0EB1A67131AC6086FCDFE +:101F2000831FFE192841FE2E283D11001019CD8374 +:101F30001FFE1E2847FE2E28432124C0EB1AC60888 +:101F400067131A6FCD831FFE1B2823FE2E281F1137 +:101F5000100019CD831FFE1C281BFE2E2817CDF65E +:101F60000F21F9C0C3DB1D21F9C0CB8E18F021F978 +:101F7000C0CB9618E921F9C0CBD618E221F9C0CB25 +:101F8000CE18DBE5110038CDA07AE1C93D32FAC0A8 +:101F9000EB2128C03434EBCB66EB28103535237E9B +:101FA000D602EBCB6EEB2002C604772BD5EB1AC61C +:101FB0000867131AC6016FCD831FFE1B283DFE2E36 +:101FC0002839110E0019CD831FFE1C2826FE2E284D +:101FD000227CC608677DD60D6F0607CD831FFE1EC7 +:101FE0002824FE2E28207DC6026F10EFE1CBA6CD5F +:101FF000F60FC9EBE1CBEEE5EB18D6EBE1CBAEE5A6 +:10200000210E001918CBE1CBE618E4E5210DC0CB79 +:1020100076E1C0F53A63C04FF1E5C5F5CD247A30DD +:102020000A2154C0060336992310FBF1C1E10D20AB +:10203000E80603215DC01156C0CD2E7A0606115D5B +:10204000C0212538CDBD7AC93E01215BC00603CD34 +:102050000B202127C07E07FE1020023E0277C921F7 +:102060005BC00603CD0B20C9215CC00602CD0B204E +:10207000C92100006F29195E2356EBC9089920B4C5 +:1020800020CF20EA20052120213B2140215E21791B +:10209000215E2163217E219C21A4381820202082EA +:1020A0008384852020202020202020202020202004 +:1020B00086202020C438182020208788898A202064 +:1020C000202020202020202020208B8C202020E475 +:1020D00038182020208D8E8F902020202020202036 +:1020E0002020202020919220202004391820202018 +:1020F0009394959620202020202020202020209797 +:1021000098999A20202439182020209B9C9D9E205D +:10211000202020202020202020209FA020A120203F +:10212000E4391850555348203120504C4159205320 +:102130005441525420425554544F4E2F3A024F525C +:10214000643A1850555348203220504C415920537E +:102150005441525420425554544F4E544F4E4439DA +:10216000182020202020A2A32020202020A4A52069 +:1021700020202020A6A720202064391820202020FD +:1021800020A8A92020202020AAAA2020202020AB9F +:10219000AC2020202020202020202020E13A1D20DB +:1021A000202020202020202020202020202020202F +:1021B0002020202020202020202020200000303837 +:1021C0001C0E070300000C1C3870E0C003070E1C37 +:1021D00038300000C0E070381C0C0000000003071D +:1021E000070101010000C0C0C0C0C0C00101010161 +:1021F0001F1F0000C0C0C0C0F8F8000000000F1F83 +:102200001C1C00000000F8FC1C1C3CF803070F1EFF +:102210001F1F0000F0C00000FCFC000000000F1FAA +:102220001C00000F0000F8FC1C1C1CF80F00001C18 +:102230001F0F0000F81C1C1CFCF800000000000030 +:102240000103070E000078F8F8B838381C383F3F13 +:10225000000000003838FCFC3838000000001F1F68 +:102260001C1C1C1F0000FCFC000000F81F00001CD0 +:102270001F0F0000FC1C1C1CFCF80000FFFFFFFFF0 +:10228000FFF8F8F8FFFFFFFFFFFFFFFFFFFFFFFF73 +:10229000FF1F1F1FF8F8F8F8F8F8F8F81F1F1F1FA6 +:1022A0001F1F1F1FF8F8F8FFFFFFFFFFFFFFFFFFD3 +:1022B000FFFFFFFF1F1F1FFFFFFFFFFF00000000CA +:1022C0000000000000001F3F3030303F00009FDF63 +:1022D000C30303830000E7EF0D1D181800000787F4 +:1022E00086C6C6C70000F3FB181818F80000FCFCEF +:1022F000606060601F0000303F1F0000C3C3C3C3A5 +:10230000C3830000383F3F6060600000E7E6E636C8 +:1023100036360000F0301818181800006060606051 +:102320006060000038FEFE7CFEFE6C6CFFFFFFFF6D +:10233000FFFFFFFF00000000000000007CCECECEBB +:10234000CECE7C007838383838387C007CCECE1C35 +:102350003870FE007CCECE1CCECE7C000C1C3C6CBB +:10236000CCFE0C00FCC0FC0E0ECE7C007CC0FCCE73 +:10237000CECE7C00FEC6CE1C181818007CCECE7CBB +:10238000CECE7C007CCECECE7E0E7C000018180017 +:10239000001818000000001818000000303020401D +:1023A000000000000000007E7E0000000000000031 +:1023B0000000000000000000000000003C42BDA141 +:1023C000A1BD423C387CE6E6FEE6E600FCE6E6FC23 +:1023D000E6E6FC007CEEEEE0EEEE7C00FCE6E6E6F7 +:1023E000E6E6FC00FEE0E0FCE0E0FE00FEE0E0FCF3 +:1023F000E0E0E0007CE6E6E0EEE67C00E6E6E6FE15 +:10240000E6E6E60038383838383838000E0E0E0E5A +:10241000CECE7C00E0E6ECF8F8ECE600E0E0E0E0B0 +:10242000E0E0FE006CFED6D6D6D6D600C6E6F6FEB6 +:10243000EEE6E6007CE6E6E6E6E67C00FCE6E6E6BE +:10244000FCE0E0007CC6C6C6F6CE7E00FCE6E6E612 +:10245000FCE6E6007CE6E07C06E67C00FEFE383822 +:1024600038383800E6E6E6E6E6E67C00C6C6C6C6CC +:10247000EE7C3800D6D6D6D6D6FE6C00C6EE7C38BA +:102480007CEEC600C6C6FE7C38383800FEFE1C381E +:1024900070FEFE00FFFFC1C4C2C5C0C7FFFF03A39B +:1024A000835383E3FFFFC0C0C0C1C1C7FFFF03C3A5 +:1024B000C38383E3CFCFCFCFC7C0FFFFF3F3F3F3E3 +:1024C000E303FFFF01010101010101010303030314 +:1024D0000303030307070707070707070F0F0F0F7C +:1024E0000F0F0F0F1F1F1F1F1F1F1F1F3F3F3F3FBC +:1024F0003F3F3F3F7F7F7F7F7F7F7F7FFFFFFFFFEC +:10250000FFFFFFFF8080808080808080C0C0C0C0CF +:10251000C0C0C0C0E0E0E0E0E0E0E0E0F0F0F0F0FB +:10252000F0F0F0F0F8F8F8F8F8F8F8F8FCFCFCFC3B +:10253000FCFCFCFCFEFEFEFEFEFEFEFEFFFFFFFFBF +:10254000FFFFFFFF88CC1072FC38BA7500200A0527 +:1025500003010501009020C09000A00000E03010B1 +:102560001010608020110F00000000FE000000002D +:1025700000000101000000FFFFFFFFFF000000005E +:10258000000F0F1F0000000000F0F0F0000000033B +:102590000307070F000000FEFEFEFCFC000F3F3F9C +:1025A0007F7FFFFF00FFFFFFFFFFFFFF0080E0F8DE +:1025B000FCFCFEFE030307070F0F1F1CFFFFFFFFBE +:1025C000FFFF7FFFFFFFFFE1C0C0C08100000000F0 +:1025D0000000000F00406070707070FE000000008E +:1025E000FF7F3F0700000000F8FCFC1C00E0F0F853 +:1025F000F8F8F8F807030000001F0F07FFFF7070DE +:1026000070FFFFFF07070F0F0E3EBEFB1C1C1C1CBC +:102610001C1C1CFC010303030303030FF0E0E0E0B8 +:10262000E0E0C0C00000000303030303707070F01B +:10263000F0FCFEFF797870003F7F7F78FCF80000A7 +:10264000E0F0F0F00F0F0F0F1F1F1C1CE0F0F87CE4 +:102650003C3E3E0E0E0E0E0F3F3F3D7D707070F003 +:10266000F0F0FEFE707070787F7F3F00707070F049 +:10267000F0F0E00000000001010103037C7C70F039 +:10268000F0E0E0E00F0F070707010101000000C0C4 +:10269000C0C0F0F07CF0F0E0000000003F07070051 +:1026A00000000000F8FFFF1F0000000000E0F8FC41 +:1026B000FE000000030F1E3C3C00000080808000F4 +:1026C00000000000F8F8FE3F3F1F000001017F01FD +:1026D0001F0007068080FE80F800E060FF01FFC158 +:1026E000DDC1DDC1FF80FF83BB83BB83193160C8BF +:1026F0001B3360C0FCFC0000FFFF30302710FF18C8 +:102700007E12224EE408FF207E66667E187E183C0C +:102710007EDB99183030303030303030303030306F +:1027200030F07030FBF7F7EFDF3FFFFFE1E1C1C1B1 +:10273000C1818100E7C7C78F8F1F1C30FCFCF8F9EF +:10274000E18000007FFFFFFFFF000000FFFFFFFCB4 +:1027500080000000FFFCC0010303070F7FFFFFFFA5 +:10276000FFFFFFFFFFFFFFFFFEFEFCFD808000007C +:10277000000000FF000000000000008000000000DA +:102780000003070F0000003EFFFFFFFF00000303F0 +:1027900087C7E7F30000FEFEFCFCF8F8000007071F +:1027A0000F1F3F7F0000FEFCF8F0E0C000000101B9 +:1027B00001000000FFFFFFFFF8000000FFFFFCC06A +:1027C00000000000F0800000000000001F3F00003B +:1027D00000000000FFFF010103030707FFFFFFFFE9 +:1027E000FFFEFEFCFBFB87070F1F1F3FFFFFFFFFE6 +:1027F000F7F7D7E7C0C0E0E0F1F1FBFB1F3F7FFF39 +:10280000FFFFFFFFFFFFFFCF87870604FBF7EFDF28 +:10281000BF3F3F7FF0F1F3E7EFDFFFFFFFFFFEFC7D +:10282000F8F0E0C0800000000000000000000000A0 +:1028300000007F7F000000000000F8F80F0F1F1F4E +:102840003F7F7FFFFCF8F8F8F1F1E3E73F7BFCFC0A +:10285000FEFEE0F8E3C3C30200000103F7F7B76F21 +:10286000EFEFEFDFFEFEFCFCFCF8F8F800000000E4 +:10287000000101017F7FFFFFFFFFFFFFFFFFFEFC65 +:10288000F8F0E0F07F7F7F7F7F3F3F1FF8FCFCFF89 +:10289000FFFFFFFF0103071FFFFFFFFFC7CF8F9F52 +:1028A0003F3F7F7FF0F8F0E0C49C3DFF0001033321 +:1028B00079FDFEFFDFDFDFDFDFEFEFEFF0F0F0F0BD +:1028C000F0F0F9F903236367F7F7FBFBFFFFFFFF66 +:1028D000FBF9F1F0F0F8F8FCFCFEFEFF1F0F070318 +:1028E00001000000FFFFFFFFFF7F1F03FFFFFFFF4F +:1028F000FFFFFFF8FCFDF9F3E7C70F1FFFFFFFFF26 +:10290000C0808000FFFFFFFF0F070703F7F7FBFB07 +:10291000FDFDFEFFFFFFFFFFFFFFFF7CFBF7F7EF73 +:10292000DFBF7FFFF0E0E0E0C0C08080FF7F7F3F3F +:102930003F1F1F0F008080C0C0E0E0F0FFFFFFFAE4 +:10294000FFF4F9FEFFFFFFFFA8D50824FFFFFFFFFC +:10295000DFB79F57F4F0F5FAF4F9F4FC3F2F9F2FFF +:102960005FAF0F2FEAF9EDFBFFFFFFFF2410AB1560 +:10297000FFFFFFFF579FB7DFFFFFFFFFFFFFFFFFD7 +:10298000FF070707FFFFFFFFFFFFFFFFFFFFFFFF3F +:10299000FFE0E0E00707070707070707E0E0E0E0E0 +:1029A000E0E0E0E0070707FFFFFFFFFFFFFFFFFF9B +:1029B000FFFFFFFFE0E0E0FFFFFFFFFF70707070C0 +:1029C000909070707777777777777777B0B0DBDB39 +:1029D000DBDB3F1F2FF2FDDFDF8F07000000000071 +:1029E0000000E0C0B068E8ECDEDE87030100000014 +:1029F000000007030D1617377B7BE1C08000000045 +:102A00000000FCF8F44FBFFBFBF1E0000000000009 +:102A10000000000207050C091B1213110101000040 +:102A20000000002070D098C86C246444C0C080802E +:102A30000000070F09090F0E071E3E3E1F0E0C1D5A +:102A40003D3CF0F83838F8F83078F8F87818E8F8BD +:102A5000F0000F1F1C1C1F1F0C1E1F1F1E18171F0E +:102A60000F00E0F09090F070E0787C7CF87030B867 +:102A7000BC3C00000000000103043F4FA797CEFEBE +:102A8000FE7E08183858F8F0D0D0F0E0E000F6FFED +:102A9000FFF610181C121F0C0B0B0E0507006FFF22 +:102AA000FF6F000000000080C020FCF2E5E9737FAA +:102AB0007F7E000021311B0F070F08171615161B0C +:102AC0000C0761E3F6FCF8F8F8FC34D454D434EC89 +:102AD00018F003070B0B0B0F070000000000000CA1 +:102AE0001C00C8F8F0F0E0E0C020180C0E0F3630E3 +:102AF000100000000404040000030303070F0C008F +:102B0000000000000000000000C0E0F0B0C0C0C045 +:102B10000000131F0F0F07070304183070F06C0C30 +:102B20000800C0E0D0D0D0F0E0000000000000308D +:102B300038000000000000000003070F0D0303032E +:102B4000000000002020200000C0C0C0E0F03000E5 +:102B50000000070B0B0B070301010000000103013C +:102B60000300C8F8F0F0E08060F8FFFE7C38A48E27 +:102B7000060C00040434301C0E06030F0F06000080 +:102B8000000000000000004080000000804018109D +:102B90000000131F0F0F0701061FFF7F3E1C25714A +:102BA0006030E0D0D0D0E0C0808000000080C080E5 +:102BB000C00000000000000201000000010218082F +:102BC00000000020202C0C387060C0F0F060000085 +:102BD000000003071E0E0E070300001860201300FC +:102BE0000000E0F0F0BCB8B0E00000001000800091 +:102BF0000000000001010100041F7F671F1F0C0E71 +:102C00001E0000000040404000F0F8FCECF0781F8F +:102C10000E04070F0F3D1D0D070000000800010006 +:102C20000000C0E0787070E0C00000180604C80022 +:102C30000000000000020202000F1F3F370F1EF8C5 +:102C4000702000008080800020F8FEE6F8F83070E8 +:102C5000780003061E0E0707030000080C1C1C3E2C +:102C60000000E0B0BCB8F0F0E0000008181C1C3E0A +:102C700000000001013130181C0F07070303030196 +:102C8000010300404046060C1CF8F0F0E0E0E0C014 +:102C9000C0E003071F0E06662310000000000000BE +:102CA0000000E0F0FCB8B0B3E20400000000000057 +:102CB00000000000003131191C0F07070303030354 +:102CC000030700000046464C1CF8F0F0E0E06060AE +:102CD0006070000079393838181000070F0F1D3D5B +:102CE0000D0700003C383838301000C0E0E0707844 +:102CF00060C00E0606060707070F1F3870E0C20205 +:102D00000200E0C0C0C0C0C0C0E0F0381C0E868029 +:102D1000800000000000006130303000070F1F1FEE +:102D2000390F00000000000C18181800C0E0F0F087 +:102D300038E000001C0C181E0F0F0F3FF8E00000D9 +:102D400006000000706030F0E0E0E0F83E0E0000A9 +:102D5000C00003071E0E060703000000041C1C3CF5 +:102D60000000E0F0BCB8B0F0E0000000101C9C9E39 +:102D7000000000000101010000070F1F1B030303F7 +:102D8000030700004040400000F0F8FCECE0606009 +:102D90006070040004200824005600240A00240265 +:102DA00008008010840090A400650088A4084410E6 +:102DB0008000002011190F0F0F1FFF3F1F0F0F1F63 +:102DC0001920808084CCF8F8FFFCF8F8FCFFF0F0C4 +:102DD000988400003F5FEFF7DACD87000000000025 +:102DE00000000000E0D0A868D8DCBC1C0E06020081 +:102DF00000000000070B15161B3B3D3870604000BB +:102E000000000000FCFAF7EF5BB3E10000000000F7 +:102E10000000060F19103123624341010100000038 +:102E200000003078CC84C662236141C0C08080003D +:102E30000000070F09090F0E071E3E3E1E0F1F3C24 +:102E40003D01F0F83838F8F83078F8F8F87818E8F4 +:102E5000F8F00F1F1C1C1F1F0C1E1F1F1F1E181712 +:102E60001F0FE0F09090F070E0787C7C78F0F83CF8 +:102E7000BC8000000000000103043F4FA797CEFE76 +:102E8000FE7E08183858E830D0F070A0E000F6FF59 +:102E9000FFF610181C121F0C0B0B0E0507003F7FCE +:102EA0007F3F000000000080C020FCF2E9E5737F56 +:102EB0007F7E0010190D0707070F08171615161B40 +:102EC0000C0760E0F1F3FEFCF8FC34D454D434EC8D +:102ED00018F003070B0B0B0F0700000000000000A9 +:102EE0000307C8F8F0F0E0E0C040203030383C186C +:102EF000808000000404040000071F1D0303030377 +:102F000000000000000000000080C0C0C0C0808041 +:102F10000000131F0F0F07070302040C0C1C3C18C2 +:102F20000101C0E0D0D0D0F0E000000000000000BF +:102F3000C0E00000000000000001030303030101E2 +:102F4000000000002020200000E0F8B8C0C0C0C091 +:102F500000000F1F3F31313F1C0F3E3E3E19F7FB73 +:102F60007B3DE0F0F81818F870E0F8F8F830DEBEB5 +:102F7000BC7800071F3F3F7F7F7F7F7F7F3F3F1FE2 +:102F8000070000E0F8FCFCFEF2F6F6F2FEFCFCF8AE +:102F9000E00000071F3F3F7F7C7D7D7C7F3F3F1F20 +:102FA000070000E0F8FCFCFE3EBEBE3EFEFCFCF866 +:102FB000E00000071F3F3F7F4F6F6F4F7F3F3F1F76 +:102FC000070000E0F8FCFCFEFEFEFEFEFEFCFCF846 +:102FD000E00000071F372363777E7E7F7F3F3F1F20 +:102FE000070000E0F8FCFCFE7E3E3E6EC6C4ECF836 +:102FF000E00000071F3F3F7F776363777F3E3E1F00 +:10300000070000E0F8ECC4C6EEFEFEFE7E3C3C7815 +:10301000E00000071E3C3C7E7F7F7F776323371FE5 +:10302000070000E0F87C7CFEEEC6C6EEFEFCFCF875 +:10303000E0000000030F1F3F3F3F7FFF3F190000EC +:1030400000000000C0F0F8FCE4E4FEFFFC98000083 +:1030500000000000030F1F3F3C3C7FFF3F190000B2 +:1030600000000000C0F0F8FC3C3CFEFFFC980000B3 +:1030700000000000030F1F3F27277FFF3F190000BC +:1030800000000000C0F0F8FCFCFCFEFFFC98000013 +:10309000000000070F183766666666676636180F09 +:1030A000070000E0F018CC66666666C6060C18F0ED +:1030B000E00000070F183766666767666637180F07 +:1030C000070000E0F018CC6666C6C66666CC18F04D +:1030D000E00000070F183766666766666637180FE8 +:1030E000070000E0F018EC0606E6060606EC18F00D +:1030F000E00000070F183366666763606633180FD9 +:10310000070000E0F018CC6606C6E66666CC18F04C +:10311000E00000070F183766666667666636180FA8 +:10312000070000E0F018CC666666C666666C18F0AC +:10313000E00000070F183367666666666733180F8E +:10314000070000E0F018CCE666060666E6CC18F04C +:10315000E00000070F183766666760606633180F77 +:10316000070000E0F018EC0606C6666666CC18F0AC +:10317000E0000000070F1F3F3F3F3F3F3F1F0F078B +:1031800000000000E0F0F8FCFCFCFCFCFCF8F0E0C7 +:103190000000F6310B32243239324A324B3260327F +:1031A00079329A32BB32BC32D532EE32FF32303312 +:1031B0003133523363338C33A533A633B733D03333 +:1031C000E933FE33FF33143431344E346334643422 +:1031D0007D348E34A334B034B134DE34FF3404355E +:1031E00015355235733588359135BA35DF35F435B7 +:1031F00015363E367336050ACF3800040539000807 +:10320000CC390004233A000B923A0006070139003A +:103210000718390005A6390005B5390005493A00F7 +:1032200005523A000505E9380005F2380004CE39A8 +:103230000004233A0004393A000405E4380005F795 +:10324000380005443A0005573A00000505D138001A +:1032500008213900067639000496390111063A0032 +:103260000606CD3800066739000673390006C739EF +:103270000006D33900064D3A000803CA380103D5C9 +:103280003801072439000735390007043A000715CB +:103290003A00032A3A0103353A010808AA38000225 +:1032A000CD3801050D39000D6D390005CD39000F00 +:1032B000233A00024D3A01058D3A0000060CE6382B +:1032C0000004F638000A0639010A19390104463AA1 +:1032D000000C4E3A000605DA380005213900057A5F +:1032E000390005C13900051A3A0005413A000414B5 +:1032F000E638000806390108193901040E3A000CB5 +:1033000005A7380005B4380005E3380105FC38018D +:10331000040E3900044B39010454390105C3390145 +:1033200005DC3901040E3A0005893A0005923A009D +:10333000000809A9380004D1380107FC38010863E6 +:1033400039000783390109D43900040E3A010B8E84 +:103350003A00040ACB380008273901083839010A35 +:103360004B3A000A04C3380004CE380004D93800B0 +:10337000056839000573390004033A00040E3A0069 +:1033800004193A0005883A0005933A000607013906 +:10339000000718390005A6390005B5390005493A76 +:1033A0000005523A0000040CE538000C0539011103 +:1033B000C6390015853A000604A5380104BA38015B +:1033C000072B39010734390105053A01051A3A017D +:1033D000060BE438000BF138000A0E39010A1139E6 +:1033E00001044B3A0004513A000518E338000D0E71 +:1033F000390109833901099A390116043A00000597 +:1034000008C138000AD138000DF138010D653A00C5 +:1034100008773A000704E3380004F938000A06394F +:10342000010A19390105463A000AEB390005553AF7 +:1034300000070307390105083900030D390103129C +:10344000390105133900031839010A4B3A00050AFE +:10345000E338000AF33800080C3901081339010871 +:103460004C3A00000607C3380007CD380006D738AD +:10347000000DE938010DF338010DFC380104086F27 +:1034800038010D5239000DA139000AB03901051675 +:10349000E538000F053901021A39010CCF3900084F +:1034A000FA39010318E4380008093901081639010E +:1034B000000B06ED380003EC380103F33801072553 +:1034C000390007343900098539000893390006A509 +:1034D000390106AC390106B3390106BA39010806CB +:1034E000253A0106283A01062B3A01062E3A010632 +:1034F000313A0106343A0106373A01063A3A0101F7 +:103500000EC939000413E738000F073901081939CB +:10351000010D0D3A000F01A8380001CD380001E47B +:10352000380001F8380001123900013D39000183EB +:10353000390001AA390001B9390001D33900010667 +:103540003A00014F3A00015B3A0001A93A0001B587 +:103550003A000804B9380002D938010614390002CB +:103560003439010A6B3900048B390109033A00042C +:10357000233A010516E538000A0539010A1A39010E +:103580000A453A000A513A0002126A380112B53867 +:10359000010A08A5380105AC380105B3380108BA9D +:1035A000380106863900098C3901099339010694DE +:1035B000390006E5390106FA39010905AA3800057E +:1035C000B13800080C3900056A3900057139000866 +:1035D000CC3900052A3A0005313A00088C3A00053A +:1035E00006093901061639010EC9390006EC390100 +:1035F00006333A01081A033900072639010B31391D +:103600000105673900088B39010AD2390007853A6C +:10361000000C913A000A06E3380006ED380006F780 +:103620003800048139000887390008913900049B6B +:10363000390006433A00064D3A0006573A000D1984 +:10364000A3380003C3380103DB3801130639000334 +:10365000263901033839010D69390003893901031D +:1036600095390107CC390003EC390103F239010423 +:103670002F3A010909E5380106E6380009EC38015E +:1036800009F3380106F4380009FA380103453A0114 +:1036900014463A00035A3A01F138F438F738FA3848 +:1036A0003D397D39BD39FD3921396139A139E139DA +:1036B000633866386938583A553A523AAA3AA73ABE +:1036C000A43A7D387A387738123A153A183A72396E +:1036D0007539783997389A389D38F238EF38EC38A0 +:1036E00072386F386C388138843887386639693970 +:1036F0006C39063A093A0C3A8C394C390C39123982 +:1037000052399239F739FA39FD39B238B5387A3841 +:103710007D38AC38A93864386138A93AAC3AB23A45 +:10372000B53AE139E439E739B73ABA3ABD3A143A29 +:10373000173A1A3A0A3A073A043A77397A397D3908 +:10374000673964396139B738BA38BD38A738A43811 +:10375000A138A13AA43AA73ACB390B3A4B3AA4384C +:10376000E4382439C439043A443AD339133A533A41 +:10377000AB38EB382B39BA38FA383A39DA391A3AE1 +:103780005A3AB338F33833394739443941398139F2 +:10379000C139013A413A3D3AFD39BD397D393D39AA +:1037A0003A393739373A343A2A3A273A94389138FD +:1037B000643861387A387D389339963999392B3A9B +:1037C0006B3AAB3A8B3988398539853888388B38EC +:1037D000933896389938213A613AA13A3D3A7D3A80 +:1037E000BD3A333A733AB33AC739C439C139A83A02 +:1037F000683A283ACB380B394B396438A438E43866 +:103800007A38BA38FA38D33813395339B63A763A5F +:10381000363ADD39DA39D739343937393A39373A3E +:10382000773AB73ADD38DA38D7383D3A7D3ABD3A9B +:103830007A38773874382839E838A838A538E53820 +:103840002539E539E839EB39CF38D238D538D83889 +:103850001D395D399D39DD39013941398139C13928 +:10386000643867386A38583A553A523AAC3AA93A05 +:10387000A63A77387A387D38EB392B3A6B3A7A3872 +:10388000BA38FA383D3A7D3ABD3A6438A438E4385B +:10389000213A613AA13AB338F33833399739D739F5 +:1038A000173A0739473987399A39DA393A3A3D3A76 +:1038B0007D3ABD3AA73AA43AA13A77387A387D38AA +:1038C0003A393D39FD3832392F392C396138A13830 +:1038D000E13844398439E439D239923952394C3992 +:1038E0008C39CC39B238B538B838C138013941399A +:1038F0008439C439043AAC38A938A638DD381D39C2 +:103900005D399A39DA391A3AD239123A523A893942 +:1039100049390939DD399D395D397A387738743854 +:103920006A386738643841398139C13915395539B0 +:103930009539CC390C3A4C3ACB390B3A4B3AA4383E +:10394000E4382439C439043A443AD339133A533A5F +:10395000AB38EB382B39BA38FA383A39DA391A3AFF +:103960005A3AB338F3383339513A543A573A9D39C1 +:103970005D391D399738D73817391A395A399A3974 +:103980004F390F39CF380939063903398439C439E9 +:10399000043A073A473A873AA83968392839253925 +:1039A0006539A539E539253AB938EC38EF38F238B8 +:1039B000A53839397939B939F939393A92388F38DE +:1039C0008C3836397639B639533A563ABA3ABD3A1E +:1039D000A13AA43A483A4B3ADD39DA39D73987382F +:1039E00084388138C139C439C739363933399738C1 +:1039F0009A389D3828392B39123A153A183A7239C3 +:103A00007539783997389A389D38F238EF38EC386C +:103A100072386F386C38813884388738663969393C +:103A20006C39063A093A0C3A743A773A7A3A7D395F +:103A30003D39FD387738B738F738FA383A397A39B6 +:103A40002F39EF38AF38E838E538E238A539E539AD +:103A5000253A283A683AA83AB83AB53AB23AAC3A6E +:103A6000A93AA63A61392139E138E339233A633A70 +:103A7000E639E939EC39863946390639F539F2390A +:103A8000EF3909390C390F39AB3AA83AB63AB33A9B +:103A9000A9396939293935397539B53986388938B7 +:103AA0008C389238953898381B3A5B3A033A433A47 +:103AB0009D38DD388138C138AC3AAF3AB23A123964 +:103AC00052399239D239123A963999399C39AD38EE +:103AD0008239853988390C3ACC398C394C390C39D2 +:103AE000B138B73ABA3ABD3A793876387338693866 +:103AF00066386338013904390739C439C739CA39D6 +:103B0000263A293A2C3A2F3A323A353AD239D5392F +:103B1000D839153918391D399C389938963888386C +:103B20008538823802390539083913391639193977 +:103B3000393A363A333A283A253A223AA23AA53A5D +:103B4000A83AAC3AAF3AB23A2A3A273A243AA43AD7 +:103B5000A73AAA3AB53AB83ABB3A963999399C3954 +:103B6000983895389238AD39ED392D3A6A392A3975 +:103B7000EA38883885388238F138F438F738A1388F +:103B8000E1382139243A643AA43A6C3A6F3A723AED +:103B90006C386F387238BA3A7A3A3A3A3D39FD3869 +:103BA000BD38E738EA38ED38E639263A6C3A6F3AEC +:103BB000723A383AF839123A0F3A0C3AE339233A62 +:103BC000633A7B3A3B3AFB39B838953872386C38EF +:103BD0008938A6382839333971393139F138BB3849 +:103BE000B838B538F639B63976397B39BB39FB394F +:103BF000B23AAF3AAC3AE839A83968396339A339EF +:103C0000E339ED382D396D393D3A7D3ABD3A213AE7 +:103C1000613AA13A7D38BD38FD386138A138E138C4 +:103C2000B13AB43AB73A6D386A386738AD3AAA3A19 +:103C3000A73A713874387738103A0D3A2D3930393F +:103C40008D38903897389A389D38573A173AD7397F +:103C50009A395A391A39663A263AE6398339433954 +:103C60000339813884388738A438E4382439A938AE +:103C7000E9382939D539153A553ADB391B3A5B3AD7 +:103C8000E439E739EA39643A673A6A3A9438973856 +:103C90009A38143917391A39183915391239063939 +:103CA00046398639463A493A4C3A523A553A583AA0 +:103CB00072386F386C3841398139C139AC3AAF3A12 +:103CC000B23A1D3ADD399D39973957391739BD3820 +:103CD000BA38B738B238AF38AC38A738A438A138BA +:103CE00007394739873954399439D4390C390F3960 +:103CF0001239CA398A394A39D139CD3991398D3990 +:103D000051394D390C39AC38AF380F391239B23816 +:103D1000F5387538B538E9386938A9387D38A13AA9 +:103D20006138BD3A72386C384B3948394539AC3A4C +:103D3000AF3AB23A72386F386C38263AE639A6395B +:103D4000353AF539B539293AE939A939383AF839DE +:103D5000B839533956395939263A663AA63A383A73 +:103D6000783AB83A293A693AA93A353A753AB53AB9 +:103D70002C3A6C3AAC3A323A723AB23A2F3A6F3A3B +:103D8000AF3A6C3872386F38EF392F3A6F3A613A80 +:103D9000643A673AA439A739B739BA39773A7A3ADF +:103DA0007D3AD8381839D5381539C9380939C6385F +:103DB00006396C386F387238773874387138A738EC +:103DC000AA38AD38013A413A813AC5398539453981 +:103DD0000139C1388138FD383D397D39B43AB73AB7 +:103DE000BA3A08390B390E39283AE839A839A139D5 +:103DF000613921396138643867380B394B398B396F +:103E0000AC3AAF3AB23A773A7A3A7D3AFD38BD38B1 +:103E10007D38D638D338D03835393839DA38DD38C6 +:103E20007D387A3877387438D438D738013A413AFF +:103E3000813A243A273A2A3A29396939C939C6399F +:103E4000C3392C392F393239B23AB53AB83A3D39FB +:103E5000FD38BD3872386F386C38A138E1382139F7 +:103E6000A73AAA3AAD3AC639863946390C390F39DC +:103E7000123958399839D8390C3A0F3A123A683A07 +:103E8000653A623A623965396839A238A538A83886 +:103E900072386F386C38BC38B938B6387C397939F3 +:103EA00076397C3A793A763A7138B138F138743AE1 +:103EB000343AF439B739BA39BD39D838183958399C +:103EC0006D38AD38ED386A3A2A3AEA39A739A4395B +:103ED000A139C638063946392F39323994399139E2 +:103EE000F239EF39EC394A3A4D3AAC3AAF3AB23A94 +:103EF000543A513A72386F386C38CA38CD38D138A4 +:103F0000D4382C398A398D39F339F039ED394D3AB5 +:103F1000513AB13AAE3AAB3A273A243A213A2139EA +:103F200024392739373A3A3A3D3A37393A393D391F +:103F30000A3914390D391139AC39EC392C3AAB3A0C +:103F4000A83AA53A093AC93989395C3A593A563AF0 +:103F50009C3999399639D938D638D3386B3868387E +:103F600065382D392A39273911390E396B386838B7 +:103F700003390639A239633A663A6D3A703ABC3968 +:103F80007B3A783AB739B439B139AD39AA39A7395A +:103F9000733876381B3918399339F039ED398A3945 +:103FA000D938D638D338D038CD38CA38C738C438DD +:103FB000C1386D387038DC383639333930392D39FD +:103FC0002A39273985399839943954391439893936 +:103FD00049390939973957391739863946390639F0 +:103FE000663A693A6C3A6F3A723A753A783A693891 +:103FF0006C386F38723875381B666666666666663A +:104000006666666666666666666666666666666650 +:10401000666666666666661C1B66666666666666D5 +:104020006666666666666666666666666666666630 +:10403000666666666666661C1B66666666666666B5 +:104040006666666666666666666666666666666610 +:10405000666666666666661C1B6666666666666695 +:1040600066666666666666666666666666666666F0 +:10407000666666666666661C1B6666666666666675 +:1040800066666666666666666666666666666666D0 +:10409000666666666666661C1B6666666666666655 +:1040A00066666666666666666666666666666666B0 +:1040B000666666666666661C1B6666666666666635 +:1040C0006666666666666666666666666666666690 +:1040D000666666666666661C1B6666666666666615 +:1040E0006666666666666666666666666666666670 +:1040F000666666666666661C1B67686768676867EB +:104100006867696E677C677D7E7F807F81A27FA301 +:104110007FA37FA37FA37F1C1B6A6B6A6B6A6B6A9A +:104120006B6A6C6F6A6B82838485868788A48786B6 +:10413000878687868786871C1B6A6B6A6B6A696DB0 +:104140006A6E6A6A716A71898A8B878B87878187B1 +:10415000A5A287868786871C1B6A6B6A6B6D6A6B54 +:104160006C6F6A6B6A828C8D8E8F8587868788A438 +:104170008687A5868786871C1B6A696D6A6B6A6E4F +:10418000706A6B6A6A906A898A879187878687A630 +:1041900081878687A5A2871C1B6A6B6A6B6A6C6A1B +:1041A0006A6B6A8C828C6A8D8E878F858F878687F3 +:1041B00087A487868786871C1B6A6B6A6B6A706A0E +:1041C0006B6A716A926A6B898A868793878B878606 +:1041D00087A687868786871C1B72737472737472B6 +:1041E00073747273946A6B8D8E86879596A79A9670 +:1041F000A79A96A79A96A71C1B75767775767775FA +:1042000076777576979899749A9B9C9D9EA8A99E9F +:10421000A8A99EA8A99EA81C1B78797A78797A7893 +:10422000797A78797978797A9FA0A1A0A0A19FA0C6 +:10423000A19FA0A19FA0A11C1B7B7B7B7B7B7B7B89 +:104240007B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7BBE +:104250007B7B7B7B7B7B7B1C1B7B7B7B7B7B7B7B6D +:104260007B7B7B7B7B7B7B7B7B7B7B7B7B7B7B7B9E +:104270007B7B7B7B7B7B7B1C1B66666666666666E0 +:1042800066666666666666666666666666666666CE +:10429000666666666666661C1B6666666666666653 +:1042A00066666666666666666666666666666666AE +:1042B000666666666666661C1B6666666666666633 +:1042C000666666666666666666666666666666668E +:1042D000666666666666661C1B6666666666666613 +:1042E0006767676767666666666666666666666669 +:1042F000666666666666661C1B66666666666869EE +:104300006A6B6C6B86666666666666666666666619 +:1043100066A4A56666A6A71C1B6666666D696E6FB9 +:1043200070707070876666666666666666666666E4 +:1043300066A8A566A9AAAB1C1B666666716F6F6F3F +:1043400070707070876666666666666666666666C4 +:1043500066A8A566ACADAB1C1B666666716F6F6F19 +:1043600070707070876666666666666688AE66663A +:1043700066A8A566ACADAB1C1B666666716F6F6FF9 +:1043800070707070876666666666666689AF666618 +:1043900066A8A566ACADAB1C1B666666716F6F6FD9 +:1043A00070707070876666666666666689AFB0AE66 +:1043B000AEB1B2AEB3B4AB1C1B72727273746F6FDA +:1043C0007070707087668A8B8C8D8E8F89B5B6B74A +:1043D000B8B9BABBB7BCAB1C1B75757575766F6F7A +:1043E00070707070879091929394958F89BDB6BECE +:1043F000BFC0C1C2BEC3AB1C1B7778797A7B6F6F1D +:104400007070707087969797979798999AC4B6C569 +:10441000C6C7C8C9C5CAAB1C1B7C7C7C7C7D6F6FC2 +:104420007070707087969B9B9B9B969C9CCBB6CC28 +:10443000CDCECFD0CCD1AB1C1B7E7E7E7E7E7F804E +:10444000818181819D9E9FA0A1A29EA3A3D2D3D44E +:10445000D5D6D7D8D4D9DA1C1B82838485828384AD +:104460008582838485828384858283848582838414 +:1044700085DB83848582831C1B838485828384857A +:1044800082838485828384858283848582838485F4 +:10449000DB8384858283821C1B8283848582838460 +:1044A00085828384858283848582838485828384D4 +:1044B00085DB83848582831C1B838485828384853A +:1044C00082838485828384858283848582838485B4 +:1044D000DB8384858283821C1B8283848582838420 +:1044E0008582838485828384858283848582838494 +:1044F00085DB83848582831C1B7070707070707084 +:1045000070707070707070707070717070707070AA +:10451000707070707070701C1B7070707070707044 +:104520007070707070707070707072707070707089 +:10453000707070707070701C1B7070707070707024 +:10454000707070707073707070747570707070705F +:10455000707070707070701C1B7070707070707004 +:10456000707070707076777870797A7B7070707018 +:10457000707070707070701C1B70707070707070E4 +:10458000707070707C7D7E7F8081828370707070AF +:10459000707070707070701C1B70707070707070C4 +:1045A000707070748485868788898A8B707070704B +:1045B000707070707070701C1B70707070707070A4 +:1045C0007070708C8D8E8F909192939470707070CB +:1045D000707070707070701C1B7070707070707084 +:1045E00070707095969798999A9B9C9D9E7070702C +:1045F0007070707070F8F91C1B6768707070707064 +:104600007070709FA09798A1A29BA3A4A5707070D2 +:104610007070707070FAFB1C1B696A70707070703B +:104620007070709FA69798A7A8A9AAABAC7070707D +:10463000707070F8F96B6C1C1B6B6C676870707035 +:10464000707070ADAEAF98B0B0B1B2B3B4707070FE +:10465000707070FAFB6D6E1C1B6D6E696A6F667010 +:10466000707070B5B6B798B0B0B8B9B9BA707070AC +:1046700070F8F96B6C6B6C1C1B6B6C6B6C6B6C6708 +:10468000687070BBBCBD98B0B0B8B9B9BA70707082 +:1046900070FAFB6D6E6D6E1C1B6D6E6D6E6D6E69CE +:1046A0006A7070BEBFC0C1C2C3C1B9B9C4707070F6 +:1046B000FC6B6C6B6C6B6C1C1B6B6C6B6C6B6C6B52 +:1046C0006C6768C5C6C7C8C9CACBCCCDCE70F8F96F +:1046D0006C6D6E6D6E6D6E1C1B6D6E6D6E6D6E6DA8 +:1046E0006E696ACFD0D1D2D3D4D5D6D7D870FAFBE1 +:1046F0006E6B6C6B6C6B6C1C1B6B6C6B6C6B6C6BA0 +:104700006C6B6CD9DADBDCDDDEDFE0E1E2FD6C6BEB +:104710006C6D6E6D6E6D6E1C1B6D6E6D6E6D6E6D67 +:104720006E6D6E6BE3E4E5E6E7E8E9EAEB6B6E6D70 +:104730006E6B6C6B6C6B6C1C1B6B6C6B6C6B6C6B5F +:104740006C6B6C6B6CECEDEEEFF0F1F26B6C6B6B19 +:104750006C6D6E6D6E6D6E1C1B6D6E6D6E6D6E6D27 +:104760006E6D6E6D6E69F3F4F5F6F76B6D6E6D6DD3 +:104770006E6B6C6B6C6B6C1C1B6666666666666645 +:1047800066666666666666666666666666666666C9 +:10479000666666666666661C1B666666666666664E +:1047A00066666666666666666666666666666666A9 +:1047B000666666666666661C1B666666666666662E +:1047C0006666666666666666666666666666666689 +:1047D000666666666666661C1B666666666666660E +:1047E0006666666666666666666666666666666669 +:1047F000666666666666661C1B66666666666666EE +:104800006666666666666666666666666666666648 +:10481000666666666666661C1B66666666666666CD +:1048200066676880818283666666666666666666B7 +:10483000666666666666661C1B66666666666669AA +:104840006A6B6C8484848586878888886666669CA3 +:104850009D889E666666661C1B6666666666666DF5 +:104860006E6E6E6E6E6E6E898A8B8B8B8C9FA0A126 +:10487000A28BA3666666661C1B66666666666666CF +:104880006F706F6F6F6F6F6F8D8E8E8E8EA4A5A6FB +:10489000A7A7A8666666661C1B6666666666666689 +:1048A00071717171717171718F90909090A9A8AAB6 +:1048B0009090A8666666661C1B6666666666666697 +:1048C00071717171717171718F9090909090ABACAA +:1048D0009090A8666666661C1B727372737273741E +:1048E000757575757575759192939393939393936D +:1048F0009393AD727372731C1B76777677767776A7 +:10490000777677767776777677767776777677763F +:10491000777677767776771C1B78797879787978D7 +:1049200079787978797879787978797879787978FF +:10493000797879787978791C1B76777677767776B7 +:1049400077767776777677767776777A7B7A7B7AEB +:104950007B7A7B7A7B7A7B1C1B787978797879787B +:1049600079787978799495767778797E7F7E7F7E6D +:104970007F7E7F7E7F7E7F1C1B767776777677764D +:1049800077767776777677767776967C7D7C7D7C82 +:104990007D7C7D7C7D7C7D1C1B7A7B7A7B7A7B7A1F +:1049A0007B7A7B7A7B7A7B7A7B97987E7F7E7F7E11 +:1049B0007F7E7F7E7F7E7F1C1B7C7D7C7D7C7D7CE3 +:1049C0007D7C7D7C7D7C7D7C7D999A7C7D7C7D7CE5 +:1049D0007D7C7D7C7D7C7D1C1B7E7F7E7F7E7F7EC3 +:1049E0007F7E7F7E7F7E7F7E7F7E7F9B7F7E7F7EC2 +:1049F0007F7E7F7E7F7E7F1C1B70707070707070FA +:104A000070707070707070707070707070707070A6 +:104A1000707070707070701C1B707070707070703F +:104A20007070707070717270707070707070707083 +:104A3000707070707070701C1B707070707070701F +:104A40007070707073747576707070707070707054 +:104A5000707070707070701C1B70707070707070FF +:104A60007070707077787879707070707070707026 +:104A7000707070707070701C1B70707070707070DF +:104A80007070707A787878787B70707070707070F1 +:104A9000707070707070701C1B70707070707070BF +:104AA0007070677C787878787D7E707070707070C8 +:104AB000707070707070701C1B707070707070709F +:104AC0007070687F808182838485707070A9AAABC2 +:104AD000ACAD70707070701C1B7070707070707006 +:104AE00070696A6A6A6A6A6A6A6A867070AEAFB02A +:104AF000B1B2B3707070701C1B7070707070707099 +:104B00006B6C6A6A6A6A6A6A6A6A878889B4B5B6C7 +:104B1000B7B8B9707070701C1B7070707070707066 +:104B2000686A6A6A6A6A6A6A6A6A6A8A8BBABBBCB3 +:104B3000BDBEBF707070701C1B707070707070693B +:104B40006A6A6A6A6A6A6A6A6A6A6A6A8CC0C1C29E +:104B5000C3C0C4707070701C1B70707070706B6C10 +:104B60006A6A6A6A6A6A6A6A6A6A6A6A8DC5C6C76E +:104B7000C8C0C9707070701C1B7070707070686AEB +:104B80006A6A6A6A6A6A6A6A6A6A6A6A8ECACBCC3E +:104B9000C0C0CD707070701C1B70707070696A6AD4 +:104BA0006A6A6A6A6A6A6A6A6A6A6A6A8FCECFD011 +:104BB000C0C0C0D17070701C1B7070706B6C6A6A62 +:104BC0006A6A6A6A6A6A6A6A6A6A6A6A90D2D3D4E4 +:104BD000D5D6D7D87070701C1B707070686A6A6AFE +:104BE0006A6A6A6A6A6A6A6A6A6A919293D9DADB5D +:104BF000DCDDDEDF7070701C1B7070696A6A6A6AC7 +:104C00006A6A6A6A6A6A9495969798999AE0E1E264 +:104C1000E3E4E5E6E770701C1B706B6C6A6A6A6A15 +:104C20006A6A6A6A6A6A9B9C9D9E9FA0A1E8E9EAFB +:104C3000EBECEDEEEFF0701C1B6D6E6D6E6D6E6D3E +:104C40006E6D6E6D6E6DA2A3A4A5A6A7A8F1F2F37A +:104C5000F4F5F6F7F8F96D1C1B6F666F666F666FFB +:104C6000666F666F666F666F666F666F666FFAFB7C +:104C7000C0FCFDFEFF666F1CFFFFFFFFFFFFFFFF95 +:104C8000FF40144308401660FF811004200A401AB8 +:104C900018824448138C33C028FFC41986610C6203 +:104CA000C1184022980668090803FF44FF31030633 +:104CB000630C32C00412443006802420401903865D +:104CC0000C183066C09042180C983460C086200CD6 +:104CD0002D0CD819B03066601836337B1C6706C3BC +:104CE0000C38381866B1E3C03070F239E07E637377 +:104CF000CF197CE3E3900F0FFCE0F1C63EF8FF1EF6 +:104D00000CBB0DC3E001E31CFC92C346888C55DF4D +:104D1000F0C1F00844243A7E1AC334208F2559FB91 +:104D2000FFFFFFFFFFFFFFFFFF1044FFB03066E013 +:104D3000014109993125614980829099AC8486921C +:104D4000FF0228C210026806FF0822FF0D0C66074A +:104D5000600124040298C0610C8049011B431606BF +:104D6000C1C199811101610583839981888086A0E1 +:104D700030019280D8C2686083180244196016908E +:104D800014FF23986186304630180C6603094218D8 +:104D900041011101019901098280888080998090E8 +:104DA000B4301B980D0C6606D0C491843104816028 +:104DB000014521010119014180A2848080988082EF +:104DC0000B2389218C20810688265818B330044390 +:104DD00011649A18CD0C20C26C0C1998303660649E +:104DE000363098190C6C0626FFFFFFC0D0A0F4FCEB +:104DF000FFFFFF030B052F3F301C1C18668DC703F8 +:104E0000FC0EFECCC31CF03F1C36337B1C6706C374 +:104E10000CB8381866B1E3C00C0E4F9C077EC6CEA6 +:104E2000301D1C18668DC703386CCCDE38E660C3B5 +:104E30003F707F33C3380FFC3F078F637C1FFF78C1 +:104E400058C32C04F1A49ADF0F830F1022245C7E38 +:104E50003F49C3621131AAFB18412212C831CC0369 +:104E6000FF8108200450025810C0FF22FF8CC06050 +:104E7000C6304C032048220C30192C060361043044 +:104E8000186CCCDE38E660C3F3983EC7C709F0F073 +:104E900030DDB0C30780C738FFFFFFFFFFFFFFFF14 +:104EA000FFFFFFFFFFFFFFFFFFFFFFFF030F3FFFBE +:104EB000030F3FFFFCF3CF3FFFFFFFFFC0C0FFFF2B +:104EC000FFFFFFFFFFFFFFFFFFFFFFFF0C0CFFFFD8 +:104ED000FFFFFFFF03030303FCF3CF3FFCFCCFCF37 +:104EE000FCFCCFCFFCFCCFCFCCCCFFFFCCCCFFFF6A +:104EF0000303030303030303FFFFFFFFFFFFFF9908 +:104F00000303030303FFFF99FCFCCFCFFCFFFF99D2 +:104F1000FFFF8899FFFF8899FFFF8999FFFF899913 +:104F2000FFFF8899FFFF8899FFFF8898FF80889985 +:104F3000FFFF8888FFFF8899FFFF8889FFFF889916 +:104F4000FFFF8999FFFF8999FFFF8899FFFFFFFF06 +:104F5000FFFF8999FFFFFFFFFF03070707FFFFFF21 +:104F6000FCFCCFCFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:104F7000CCCCCCCCCCFFFFFF8E2846D10C5B873944 +:104F800034CB18A763DD99788639566B8C59B433C6 +:104F90009837B692635BDACDFFFFFFFF0303FFFF95 +:104FA000CFCFFFFFCFCFFFFFFFFFFFFFFFFF030FBD +:104FB0001F1F1F1F1F1F1F1FFFFFFFFF3F3F3F3F01 +:104FC000FFFFFFFFFFFFFFFFFFFFFFFFFCFCFCFCFD +:104FD000FFFFFFFFFFFFFFFFFF030F3F3F3F3F3F8D +:104FE000FF81FF81FF81FF81FFFFFFFF07070707A9 +:104FF0003F3FFF03FFFFFF11FFFFFFFFFFFFFF1119 +:10500000FCFCFF18FFFFFF11FFFFFFFFFFFFFF1179 +:105010003F3F3F3F3F3F3F3F070707070707070760 +:1050200033333333FF1133333F3F3F3F0707070726 +:10503000FF81FF81FFFFFFFF1F1F1F1FFFFFFFFFFC +:105040003333FF1133333333FFFFFFFFFFFFFFFF26 +:10505000CFCFCFCFCFFFFFFF0707070707FFFFFF28 +:10506000FFFFFFFFFFFFFFFFFFFF60E0E0FFFFFF2D +:10507000FFFF060E0EFFFFFFFFFF030707FFFFFF07 +:10508000FFFFFFFFFFFFFFFFFF030F3FFCF3CC33EA +:10509000FFFFFFFFFFFFFFFFFFFFFFFF030F3FFFCC +:1050A000FFFFFFFFFFFFCCCCCC33CC33CC33CC3372 +:1050B000030F3FFEF3DFFEF2F9C9CF7FF9C94F7F3F +:1050C000CCFFCCCCCCFFCCCCD3DFFEF2D3DFFEF2D6 +:1050D000F9C94F7FF9C94F7FFFFFFFFFFFFFFFFFB8 +:1050E000FFFFFFFFFFFFFFFFFFFFFFFFFFFF3F0F80 +:1050F000CC33CC33CC33FFFFFFFFFFFFFFFFFFFFBD +:10510000D3DFFEF2D3DFFFFFF9C94F7FF9C9FFFFFD +:10511000FCF0C0C0C0C0C0C00F0F0F0F0F0F0F0FAB +:10512000181839FFFF181839C6C6CEFFFFC6C6CEFD +:10513000313173FFFF3131738C8C9CFFFF8C8C9C61 +:105140006363E7FFFF6363E7C7C7CFFFFFC7C7CF4F +:10515000C0C0C0C0C0C0C0C0FFFF181839FFFF18D2 +:10516000FFFFC6C6CEFFFFC6FFFF313173FFFF3121 +:10517000FFFF8C8C9CFFFF8CFFFF6363E7FFFF63E7 +:10518000FFFFC7C7CFFFFFC7C0C0C0C0FFFF0707F3 +:105190001839FFFF181839FFC6CEFFFFC6C6CEFF6D +:1051A0003173FFFF313173FF8C9CFFFF8C8C9CFFB0 +:1051B00063E7FFFF6363E7FFC7CFFFFFC7C7CFFF0B +:1051C000FFFF0707FFFF0707FF181839FFFF181831 +:1051D000FFC6C6CEFFFFC6C6FF313173FFFF3131B8 +:1051E000FF8C8C9CFFFF8C8CFF6363E7FFFF636386 +:1051F000FFC7C7CFFFFFC7C7FFFF0707FFFFFFFFBF +:105200000F0F0F0F0FFFFFFF39FFFF1839FFFFFFD1 +:10521000CEFFFFC6CEFFFFFF73FFFF3173FFFFFF1F +:105220009CFFFF8C9CFFFFFFE7FFFF63E7FFFFFF93 +:10523000CFFFFFC7CFFFFFFFCCFFCCCCCCFFFFFFE2 +:105240008E3846D10C5B8739FFFFFFFFFF8F03FECF +:105250001F03FCF0060330F6FFFFFF7F7F3F3F1F79 +:105260000FC166C01C7EE0FFF0F8F8FCFEE33110D1 +:10527000C0FF7CCF0160073FFF08387F821E78F0B7 +:1052800060C03803700C30E30F7EE0C0FFF07E1F7B +:10529000FFFFFFFFFFF17F0FFFFFFFFFFFFFFFFF9C +:1052A000FFFFFFFFFF1010381010101010103838DB +:1052B000FF04040404040E0EFFFFFFFFFFFFFF01C5 +:1052C000387C7C7CFEFEFEFF1F1F3F3F3F7F7F1030 +:1052D000FFFF808080C0C0E0FFFFFFFFFF020F3FA5 +:1052E0000101030303030303FFFEF8E0FF01FEFCDB +:1052F000FFFF808080808080FFFF09090909081C6A +:1053000020604040FFFCFFFFE0E3FFFFFFFFFFF0F6 +:10531000FFFFFFFFFFFCF8C0808080C0C03F1F0779 +:105320000303F00F0F0F0FF8F0FFFF7777FFFFFF7A +:1053300080803FC0C0C0C07F1C3E3E7F7F4040FE9B +:10534000FFFFFFFFFF7F1F60F0F0F0F0F0F0FFE0E5 +:10535000FFFFFFFFFFFF030703FFFFFFFFFFC0E0AB +:10536000FC031807FF1F1F1FFFFFFFFFFFFEFEFECE +:10537000FFFFFF7F3FC0C0C001030303FF01010126 +:10538000FEFCF8F0FE01FCFC7F7F7F7F7F7F7F7F4C +:10539000E0E0E0E1E7EEE1EF1C3BE7DF3EF2F39F08 +:1053A00038DCE7FB7C4FCFF91F0FFF87E77787FBE0 +:1053B000FEFEFFFEFEFCFCF9C08080C0C0E0E0F015 +:1053C000010101FF01010101FCFCF0FE01FFFFFFF3 +:1053D0004F4F4F7F7C7C7C7FEFEFEFEFEFEFEFEFF6 +:1053E0009FFFFFFFF08E9191F9FFFFFF0F718989F9 +:1053F000FBFBFBFBFBFBFBFBFBF3F6E6ECCCC8800B +:10540000F0F8F8FCFCFEFEFFFFFFFF181818183C30 +:105410000101010101010101FFEFC7C7C7C7FFFF7C +:105420009191917FFF7F7FFF898989FEFFFEFEFFBB +:105430008080C0BFBFBFBFDFFF0103FCFCFCF8E002 +:105440003C7E7E7E206040F8FFFFFFEFC783216037 +:1054500080C0FFFFFFFFFFFF0103FFFFFFFFFFFF14 +:10546000FBFBFBFBF8FBE3C3E0E0EF0F0FFF26269F +:105470000103E0FDFCFC646481FFFF7E813F3F3F50 +:1054800001030303030303034040FEF001FFFFFF9A +:105490007F7F7F7FBFBFBFBF33333333FFFFFFFF4C +:1054A00083830301FFFF5F4026FFFCFCFCFFFFFF3F +:1054B00064C0F8F8F8FFFFFF3F3F3F7FFF7F7F7F2B +:1054C00003033F7FFEFCF8F0FFFF8F070301FF207F +:1054D000BFBF0701FFFFFE7E5F405F405F405F4050 +:1054E000FFF7E3E3E3E3FFFF7F7F7F7F7F7F7F7F44 +:1054F000E0C08183868D80BF70D88C56DBDDFFFFD6 +:105500003E1E0E0E0E8E0EEEADADADBFADADA5B70F +:10551000B7B7B7FFB7B7B7FFEEEEEEEEEEEEEEEED3 +:10552000FFFFFFFFFFFFFFFFFFFFFFFFFFFF030383 +:10553000FFFFFFFFFFFFC0C07F7F7F7F7F6F6F6F29 +:10554000A3A381C19090B0208F0706FFFFFFFF7FCC +:10555000E0E03FFFFFFFFFFFFFFFFFFFFFFFFF0355 +:10556000030F0FF8F8F840C1C0F0F01F1F1F0283AF +:10557000FFFFFFFFFFFFFFC0FFFFFFFFFFFFFFFF7A +:10558000FFFFFFFFFFFFFFFE474793A3216141FF9E +:10559000FEFEF8C1C1FFFFFF7F7F7F80FF73FF8C9E +:1055A000FFFFFFFFFF9CFF630302021E1EF0F0F1EE +:1055B000C3070E1C3871E1C1C3E070381C8E8783AD +:1055C000C0404078780F0F8FFFFFFFFFFF39FFC605 +:1055D000FEFEFE0101CFFF31FEF8FF8383FFFFFFD8 +:1055E0001F030F7CFF7CE01FFFFFFF807F3F3F1FFB +:1055F000FFFFFFFFFFFFFF31F301030303030383FB +:1056000088949494949C8080112929292939010136 +:10561000CF80C0C0C0C0C0C1FFFFFFFFFFFFFF8C35 +:1056200001010101010101613F3F3FFEFEFCFCF869 +:10563000E0E03F0F0301FE077B4A4A4A4A7BFFFF37 +:10564000C343434343C30707FF242424FFFF808051 +:10565000FF929292FFFF0101C3C2C2C2C2C3E0E047 +:10566000DE52525252DEFFFFF191919191F101070A +:10567000F0F0F0C0FEFF0F387FC01F1F0F070303BD +:10568000F79797909090F101FF031F7FFFF0E0E004 +:10569000FFC0F8FEFF0F0707E3E2E2020202838089 +:1056A000DE5252525252DE010FC08080071E78FF38 +:1056B000010101013F0F1F1FE0E0E0E0E0E0E0E05A +:1056C00007070707070707078080808080FFFFFF25 +:1056D00003F0E0C0C08080FFFFFFFFFEFEFCFCF88F +:1056E000F8C03F0F60C00C6F0F1F1FFE80C78C08F3 +:1056F000F0836603387E07FFFEFEFCF8F0E07FF8DB +:10570000F8C0C0F8BC3CE007FFFFFFFFFFFFFFFF52 +:10571000FFFFFFFFFFFF0110FFFFFFFF1979E64FBB +:10572000FFFFFFFFFF010101FF01FE073FFFFF88B1 +:10573000EF9F7FFFFFFFFF889FFFFFFFFFFFFF88B7 +:105740000101010101010101888888FFFFFFAAAA68 +:105750007CFF7E7E7E7E7E7E7C7E7E7E7E7E7E7EEC +:105760007E7E7E7E7E7E7E7EFFFFFFFFFF1059FFE6 +:10577000FFFFFFFFFF099DFF01010103032707074B +:10578000FFFFFFFFFFFFFFFF7BEDDF7EF75BF5BF56 +:10579000EE775DE7BD7BDF7677DAF77DBEE7BAF7B8 +:1057A000EFFD37FDAFB5EEBB5CEE4A8C04FFBFA644 +:1057B000B25B32593010FBD3A604016163C5A7E484 +:1057C0009380FF0C9C96B5F76C7B3BB7D7C23C42ED +:1057D000FF5BB9BD3D1F0E68FF031FFFFFFFFFFF0B +:1057E000FFE0F0E3E7D7BBFFFFFFFF80E0F8FEFF3D +:1057F000FFFFFFFFFFFFFFC0FFFFFFFFFFFFFF886F +:10580000F0FCFFFFFFFFFF88FFFFFFC0F8FFFF88EE +:10581000FFFFFFFFFFFFFE88FFFFFFFFFFFFFF8887 +:10582000888888FFFFFFABAB8888888080805555CB +:10583000888888FFFFFF5555FFFFFFF8F80755558B +:105840007DFF787878787878FDFFF8F8F8F8F8F840 +:105850007878787878787878F8F8F8F8F8F8F8F8C8 +:10586000FEFEFEFFFFFFFFFFFFFFFFFFFFFF808049 +:10587000FFFFFFFFFFFFFFFF77DAF77DBEE7BA7B91 +:10588000EFFD37FDAFB5EEEEDFAFB0F0403F0E08F5 +:10589000976D9BA32FE0F727C1E377071F27F0C37E +:1058A0000604FFE703FF4296B89F3F1707B537C0CE +:1058B0007B6B2786C2C8D8B6FFFFFFFFFFFFFF0143 +:1058C000FFFFFFFFFFFFFFC8FFFFFFFFFFFFFFF826 +:1058D000CFC6FEFEF8FEFFFF3FFFFCFF7FFFFFFF8E +:1058E0000101010303030707C8C8C88080FF55559D +:1058F000F8F8F8F8F8F8F8F8F0FFFFFF38383878DB +:105900007CFFFFFFFFFFFF781F3FFFFFFEFEFEFE55 +:10591000FDF8F8F8F8F8F8F8F8F8F8F8F8F8F8F802 +:1059200078F8F8F8F8F8F8F8FEFEFEFEFEFCFCFC4D +:10593000F8F8F8F8F8F8F8F8F0F8F8F8F8F8F8F8EF +:10594000F8F8F8FCFCFEFFFFA57135482164A2FFC2 +:10595000FFFFFF01FE0101030307070F1F1F3F7F2A +:10596000FFFF01030307070FFFFFFFFFFFFFFFFF1D +:10597000FFFFFFFFFFFF01011F1F3F7F7FFFFFFFB3 +:10598000FFAF8C52BFF66F94FFAFB144EFBFAA4791 +:105990006552AB0D405020FFFFFFFFFFFFFFFFFFF1 +:1059A000FFFF03070F0F1F3FFFFFC0E0F0F0F8FC01 +:1059B000FFFFFFFF010303073F7FFFFFFFFFFFFF25 +:1059C000FCFEFFFFFFFFFFFFFFFFFFFF80C0C0E007 +:1059D0000F0F1F3F3F7FFFFFFFFFFFFFFFFFFFFF97 +:1059E000F0F0F8FCFCFEFFFF010303070F0F1F3F61 +:1059F00080C0C0E0F0F0F8FC3F7FFFFF0703C0FC71 +:105A0000FCFEFFFFFFFFFFF8FFFFFFFF80C0C01F8E +:105A100003FE03FCFEFFFFFFFFFFFFC70703FCFFC2 +:105A2000FFFFFFFFFF8FFF34FFFFF0F8E0071FFFCE +:105A3000FF01FF3FFFFFFFFFFCF00FFFFFFFFFFF36 +:105A40001FF0F0F8FCFCFEFEFFFF80C0C0E0E0F0BD +:105A5000F8F8FCFEFEFFFFFFFFFFFFFFFFFF808067 +:105A6000FFFFFEFEFCFCFCF8C0E0E0F0F8F8FCFEF6 +:105A7000F8F0F0F0E0E0E0E0C0C0C080808080801E +:105A80008080FFFF8080C0C0E0F0F8F8FCFE0101DC +:105A9000010101030303070FF00F0F1FE0C03E7E5B +:105AA000FFFFFFFFFF010F7FFF01071FF8FBE3CBA5 +:105AB000FEE0BFFFF0FC3F1FFFFFFFFFFFFF010104 +:105AC000FFFF070FE0C0F080FF3FFE8FFFE0073FC2 +:105AD000FFFC3FFDFEF0FFF3FCF7C7871FFFFC1B39 +:105AE000BBFFFFFEBF3F3FF0F38280FF02838B1FAF +:105AF00001FEFFFEFFFEFFFE3FF1FF787A3034200B +:105B00003F3F1D18FF0F3F7FF0E3E7FF7FFFFF8060 +:105B10001CBE0EFFFFF0FBD21C7FF8F3F0F0F0305C +:105B20003F7F7E7EC00E1EFEFEFED0BF7F7F7C7E4E +:105B3000033F3FFFFEFEFAFCF8F0C0FF10181E3FC7 +:105B4000C0FF39397D7DEF80E007076777F79090D8 +:105B500073C7CCC083BEBEBDFEBF2707233178FE0E +:105B6000FFFFFFFFFFFF0103FFFF010F3FF8883A30 +:105B7000033FCD9919090905C0F87F7D7D797B73B5 +:105B8000FFFFFFC0C0E0F0FCF8F0E0E0C0C08080A4 +:105B90001C0F63010301030FFFE7CDC0C0FEC1FF6F +:105BA000F3FBFBFFF7F783FCC1F1F8F8F8F00810FE +:105BB000FFFF7F7F7F7F3F3FFFFFFF050F19161E0F +:105BC000665CF8707C7C7F7F30C080FFFFFF01FE49 +:105BD00078FFFFFFFF60F0C002010101FFFFFFFF40 +:105BE0003F3F1F1F1F1F1F0F1F1A1D0C07FFFFFF27 +:105BF0005F4701FEF87F7FBFE0F8FE8F0301FF05DE +:105C0000E0F0F1D888FFF0DF70F8F0E040FFFFFF30 +:105C10000F0F0F0F0F070707FFFFFFFFFFFFFFFF2C +:105C2000BFD8476F672713FF03FCF87F3F0F03FFC1 +:105C30000E04FFFF80F0F0F00707030303030303E4 +:105C4000FFFFF8FFFF1F087CFFFFFFFFC4FE3E3E83 +:105C50003F10FFFFFFFFFFFFE0FFFFFFFFFFFFFF22 +:105C60000303FFFEF80103033E3C1E1E0E0F0F0749 +:105C70003F3F3F071F7F3F79FFFFFF808080C0C00D +:105C8000010101FFFFFFFFFF07878786C5CFCFCF49 +:105C9000F9F18307C7E7E307E0E0F0F8F8F8FCFC68 +:105CA000FFFFFF7F7F7F7F7FDFDFF8037FFF339F73 +:105CB0003F1F9FFF904060F0FE3FF380050F3F378E +:105CC000FFFF80C0F0F838FCFFFFFFFFFF0307076E +:105CD0007F387EFFFFC7FFF87F7F3F3F3F1F1F1FBB +:105CE000FF5DFEF9017EFEF9F0FBF90DBFDD03BF9C +:105CF0007F3EDFE1EEC0FFF8CF7F7F7C0F3FC67FA6 +:105D000008FCE0AFE0FEF8F8FFFFF0E0FFEB01BFBA +:105D10001FF00707FCFCFE34970F1EF8E31FFCFF83 +:105D2000FCFB0364FEFFFF031FE7FE3FBF0DFFCE3A +:105D30007F7FF680F3FFF980E0E1F9F9FBF810BD11 +:105D4000BFFDFAFE2403CFF2F8D0BF01B8FD39C978 +:105D5000FFFFFF7F80E0F8F8431FFFFFFFFFFF4DCD +:105D6000071FF8FF737F7FE0FEF0FF4DB30F0703BF +:105D700080FFFFC3873F3E3C18FFF103E49E32F0F3 +:105D80008F06E2E0F0F07078C0153FFF1CFD7D2823 +:105D9000E030F8F0F0F34303FFFFFF80C0C0C0C065 +:105DA000F2FFFFFEF9E3C707FFFFFF75391B808095 +:105DB0000101EFEFCF07FFFF3010038307C3FFFFA1 +:105DC000FCF8D8C08080017F383832767E7CF08045 +:105DD000FF3E7F796F3F3FFF27E7E777F7F7E1FF68 +:105DE0003F3F3F3F7F32097FC0F0FFFFFFFFFFFFD4 +:105DF000FFFFFFE0F8FEFEFF0FFFFFFFFFFFFFFFCB +:105E0000FF3F0F070301FFFF42FFFFFFBFFFFB7DC7 +:105E100011FBFDFEFFFFBFD755555555555555553F +:105E20001191D1919171B1711191B19191B1B1B1C2 +:105E300091D1B191B1B1B1B1B111B191B1D171B152 +:105E400091B1B1B191B1B19191D111B111B1B1B1E2 +:105E5000B1B1B1B191B17191D171919171B1B1B152 +:105E6000B1B1B1B1B1B1B191B1B1B1B1B1B1B19162 +:105E7000B1B1B1B1B1B1B1B131313121C3C23232FD +:105E8000313132C3C3C332323131C1C2C3C3C33271 +:105E9000C3C3C3C3323232C332C33232C3C333C3C8 +:105EA00032C3C33232323232C33232B2B3B2B3B39C +:105EB0003232C3B3B3B3B3B3C23232B3CBB3B3B37F +:105EC000BBBBBBBBBBBBBBBB1191D111B1B1B1B1B2 +:105ED000B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B2 +:105EE0001191D1919171B17111919111B1B1B1B182 +:105EF000D171919171B1B1B19191B1B1B1B1B1B172 +:105F0000B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B181 +:105F10009191B1B1B1B1B1B191B1B1B191B1B19111 +:105F2000B111B191B1D171B1B1B1B1B1B1B1B19161 +:105F3000B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B151 +:105F4000B1B1B1B1B1B1B1B1B1B1B1B1D1719171C1 +:105F5000B1B1B1B1B1B1B1B1B1B1B1B1B1B1B1B131 +:105F6000B1B1B1B1D171917171D1B1B1B1B1B191E1 +:105F700071D1B1B1B1B1B191B1B1B1B1B1B1B1B151 +:105F8000B1B1B1B1B1B1B1B1111111313131213171 +:105F90001111113131312131313132C3C3C33232A8 +:105FA000213231323232C3C331313121C3C23232B4 +:105FB000313132C3C3C332323131C1C2C3C3C33240 +:105FC000313132C3C3C3323231313121C3C23232F3 +:105FD000213231323232C3C332C33232C3C333C34C +:105FE000C23232B3CBB3B3B33232C3B3B3B3B3B34E +:105FF000C33232B2B3B2B3B391D1B191B1B1B1B195 +:106000001191B19191B1B1B191D111B111B1B1B1C0 +:10601000B1B1B1B191B17191B1B1B1B1B1B1B19110 +:1060200031313121C3C23232C3C3C3C3323232C36E +:1060300032C3C33232323232555555555555555506 +:1060400055555555555555FF55555555F5F5F5FFCC +:10605000F5F5F5FFF1E1E1E1FFFFFFFFF1FEFFFFE5 +:10606000FFFFFFFF11EEFFFFFFFFFFFFF1FEFFFF4E +:1060700055555555F5F5F5F5F1E1E1E1E4E4E4E4D4 +:10608000E4E4E4E4E4E4E4E4E4F4FFFFE4F4FFFF44 +:10609000F5F5F5F5F5F5F5F55555555555FFFFF5BC +:1060A000F5F5F5F5F5FFFFF5E4E4E4E4E4FFFFF4CE +:1060B000EEEEF1F1FFEEF1F1EEEEF1F1FFEEF1F1C6 +:1060C000FFEEF1F1FFEEF1F1FFEEF1F1FFFEF1F184 +:1060D000FFEEF1F1FFEEF1F1FFEEF1F1FFEEF1F184 +:1060E000FFEEF1F1FFEEF1F1FFEEF1F1FFEEFFFF58 +:1060F000FFEEF1F1FFEEFFFFEEE1E1E1E1EEEEEEAA +:10610000E4E4E4E4EEEEEEEEEEEEEEEEEEEEEEEED7 +:10611000E4F4F4F4F4EEEEEE74F4747474F4745481 +:10612000F47474F45474F47574F574F474757474CC +:106130007474F4747474F474FFFFFFFFF1FEFFFFD6 +:10614000E4F4FFFFE4F4FFFF555555555555E5E5DB +:10615000E5E5E5E5E5E5E5E555555522F2F2F2F22E +:1061600055555522FFFFFFFF55555522F2F2F2F229 +:10617000555555555555EEEE55E5E5E5E5E5E5E5AD +:10618000FFF4FFF4FFF4FFF455555555FEFEFEFEF7 +:10619000F2F222F5FFFFFFFEFFFF2255FFFFFFFE99 +:1061A000F2F222F5FFFFFFFEEEEEEEEEFFFFFFFE46 +:1061B000E5E5E5E5E5E5E5E5FEFEFEFEFEFEFEFEC7 +:1061C000F1F1F1F1FFFEF1F1E5E5E5E5FEFEFEFEA0 +:1061D000FFF4FFF4FFFF1111E5E5E5E5FFFF111105 +:1061E000F1F1FFFEF1F1F1F1FFFF1111FFFF1111CC +:1061F000E4F4F4F4F4EEEEEEFEFEFEFEFEEEEEEE61 +:10620000FFFFEE1111EEEEEEFFFFFEF1F1EEEEEE0E +:10621000FFFFFEF1F1EEEEEEFFFFFEF1F1EEEEEE2E +:10622000FFFF1111FFEEEEEE55E5E5E5E7E7E7E7E5 +:10623000FFEEFFEEFFEEFFEE55555555E5E5E5EEB9 +:1062400055555555FFFFFEF4E7E7E7E7E7E7E7E7D2 +:10625000E5E5E5E1E1E1E1E1E1E1E1E1E1E1E1E122 +:10626000F4FFFEF4F4FFFEF4E1E1E1E1E1E1E1E15C +:10627000E1E1E1E1E1E1E1E1555555555555FFFF1A +:10628000FFFFFFFFFFFFFFFF555555555555F5FE25 +:10629000E7E7E7E7E7E7FFFFFFEEFFEEFFEEFFFFD1 +:1062A000E1E1E1E1E1E1FFFFE1E1E1E1E1E1FFFF66 +:1062B000FEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFEFE +:1062C000FEF4F1FFFFFEF4F1FEF4F1FFFFFEF4F146 +:1062D000FEF4F1FFFFFEF4F1FEF4F1FFFFFEF4F136 +:1062E000FEF4F1FFFFFEF4F1FEF4F1FFFFFEF4F126 +:1062F000FEFEFEFEFEFEFEFEFFFFFEF4F1FFFFFED1 +:10630000FFFFFEF4F1FFFFFEFFFFFEF4F1FFFFFED3 +:10631000FFFFFEF4F1FFFFFEFFFFFEF4F1FFFFFEC3 +:10632000FFFFFEF4F1FFFFFEFEFEFEFEFFFFF1F1B8 +:10633000F4F1FFFFFEF4F1FFF4F1FFFFFEF4F1FFD3 +:10634000F4F1FFFFFEF4F1FFF4F1FFFFFEF4F1FFC3 +:10635000F4F1FFFFFEF4F1FFF4F1FFFFFEF4F1FFB3 +:10636000FFFFF1F1FFFFF1F1FFFEF4F1FFFFFEF49B +:10637000FFFEF4F1FFFFFEF4FFFEF4F1FFFFFEF479 +:10638000FFFEF4F1FFFFFEF4FFFEF4F1FFFFFEF469 +:10639000FFFEF4F1FFFFFEF4FFFFF1F1FFEEEEEE82 +:1063A000FEFEFEFEFEEEEEEEF1FFFFFEF1EEEEEE85 +:1063B000F1FFFFFEF1EEEEEEF1FFFFFEF1EEEEEE8D +:1063C000F1FFFFFEF1EEEEEEF1FFFFFEF1EEEEEE7D +:1063D000F1FFFFFEF1EEEEEEF4FFFEF4F4EEEEEE72 +:1063E00074F4747474F4745455555555555352C51A +:1063F0005353C3C23232C2C25555555353535353EC +:10640000C2C33232C2C2C222C5C5C5C5253232326C +:10641000C222C2C2C23232322232323232C2C2C28C +:106420003232C2323232C2C23232323222C2C2C2FC +:10643000555555555552C5C2555555555555555532 +:1064400055555555558585858585858585858585EC +:10645000558585858585858555555555555555856C +:10646000858585858585858885858585858585F866 +:10647000555565656565656555555555556565653C +:10648000858585856565F5F58886868666E6FEFEE2 +:1064900055556565E5E5E5E555558585858585852C +:1064A000F8F8F8F8888666FF65656666666666E6EB +:1064B00066666666666464646565656565515151C6 +:1064C000F5F551E5F5F5F551FEEE11E1F1FFFF119E +:1064D000E5E551E5E5E5E5518585858585F8F886AD +:1064E000FFFFFFFFFFE4E4F4E6E4E4E4E4E444F463 +:1064F000444444444444F4F4511111111111F1F194 +:1065000051E551F111F1F1F111EE11FF11FEFEFE15 +:106510005555555151E5E5E58585858555F5F5F5E3 +:106520008686868664E4FEFEF4F4F4F4F4F4F4F46F +:10653000F4F4F4F4F4F4F4F1F4F4F4F4F4F4F4F41E +:10654000F1F1F1F1F1F1F1F1F1F111F1F1F1F1F11B +:10655000FEFE11FBFBFBFBFBE5B5B5B5B5B5B5B56F +:10656000F5F5F555F5F5F5F5FEFEFEE4F4FFFFFF54 +:10657000F4F4F4F4F4F4F4F4F1F1F1F1F1F1F1F1F3 +:10658000F4FFFFFFFEFEE4E5F1FFFFFFFEFEE4E5A2 +:10659000F1F1F1F1F1F1F1F1FBFBFBFBFBFBFBFB9B +:1065A000B5B5B5B5B5B5B5BB5555558585858585A5 +:1065B000F5F5F5F5F5F5F5F5FFF4F4F4F4F4FFFF72 +:1065C000E5E5E5FEEEFEFE11E5E5E5FEEEFEFE117B +:1065D000FAFAFAF1F1F1F1F1AAEAEAFEFEFEFEFEA4 +:1065E00085858585F8F8F886FFFFFFFBFBFBFBFB45 +:1065F000F1F1FFFFFFFFFFFFF1F1FFFFFFFFFFFFE3 +:10660000F1F1F1F1F1F1F1F1F1F1F1F1F1FFF1F16C +:106610004141FEF1F1FEF1F1F6FFFFE1F1FEFEFE78 +:10662000B5B5B5B5F5F5F5F5FBFBBABAFAFFFFFF61 +:10663000F4F4F4F4F4F4F4F4F4F4F4F4FFFFFFFFEE +:10664000F1F171711144F4F4F1FF747474FFFFFF00 +:10665000F1FE414141FFFFFFFEFEF1F1FFFEFEFEB4 +:10666000F5F565656464F4F4FFFF6464646444F400 +:10667000F4F4F6F66666F4F4F4F4F4F4F4F4F4F4F2 +:10668000FFF4F4F4F4F4FFFFFEFEFEFEFEFEFEFE59 +:10669000F4F4F4F4F4F4F4F5F4F4F4F4F4F444FF5E +:1066A000F4F4F4F4F4F4F4F4F5F5F5F5F5F5F5F5A2 +:1066B000F4F4F4FFF4F4F4FFF4F4F4F4F4F4F4F484 +:1066C000EEEEEEEEEEEEEEEEEEEEEEEEEEEEFEFECA +:1066D000EEEEEEEEEEEEFEFEFEFEFEFEFEF5F5F555 +:1066E000F5F5F5F5F5F5F5F5F5F5F5FFFFFF66655B +:1066F000F4FEE5FFFFFF6666EEEEEEFFFFFF66F6D7 +:10670000FEFEFEF6F6F6F6F6FEFEFEF6F6F6F6F6F9 +:10671000EEEEEEFFFFFF66F6FFFF11FFFFFF66667E +:10672000FFFF11FFFFFF6665F5F5F5F5F5F5F5558A +:10673000545454E4FEFFFFFF646464E666F6FFFE13 +:106740006666666666F6FFFEF6F6F6F6F6F6F6E6B8 +:10675000F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6D9 +:10676000F6F6F6F6F6F6F6F66666666666F6FFFE88 +:10677000656564E6F6F6FFFE545444E4FEFFFFFF51 +:10678000FCFC3232223232C2EEEE113162626363BD +:10679000EEEE1111666666F6E6FEF1F1F6F6F6F635 +:1067A000F6F6F6F6F6F6F6F6F6F6F6F6F6F6F6F689 +:1067B000E6FEF1F1F6F6F6F6EEEE1111666666F615 +:1067C000FEFEF1F1F6F6F6F6F4F4F4F3F3F3F2F27A +:1067D000C6C662636362C2C2F6F6F6F6F6F666668F +:1067E000F6F6F6F6F6F6F6F6FFF6F6F6FFFFF4F432 +:1067F000FFF6F6F6FFFFF4F4F6F6F6F6F6F6F6F622 +:10680000F6F6F6F6F6F66666F6F6F6F6F6F6F6C678 +:10681000FCF2F3F33222323262C662636362626375 +:10682000F6F6F6F6F6F6F6F644F4F4F4FFF6FAF6B3 +:1068300044F4F4F4FFF6FAF6F6F6F6F6F6F6F6F6A3 +:10684000F6F6F6F6F6F6F6C6C66362623232322223 +:10685000F6F6F6F6F3F3FCFCFAF6FAF6FAF6FAF6C2 +:10686000FAF6FAF6FAF6FAF6F6F6F6F6F6FFFFFF9D +:10687000C662636362F2FCCC555555535353535370 +:106880005353C3C23232C2C2C5C5C5C552323232F9 +:10689000C2C33232C2C2C222535352535352C5C230 +:1068A0005353533232C2C23255555555555555552D +:1068B000555555555555B5B555555555B5B1B1B154 +:1068C0005555555555B5F5F555B551B5B5BBFFFA07 +:1068D000B1B1B1BBBBBBFFFAB1BBBBBBBBBBFFFA2A +:1068E000F5F5F5F5F5A5A5A5FAFAFAFFFFAABABAE6 +:1068F000B166B6B6B6B6B6B6B186B6B6B6B6B6B6C2 +:10690000B6B6B6B6B6B6B6B65555555555A5A5AA3A +:106910005555555555A5A5AAF5F5A5F5F5A5FAFAC8 +:10692000FFFFAAFFFFAAFFFFA6A6A6A6A6A6A6A6E9 +:10693000A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6A6F7 +:10694000A6A6A6A6A6A6A6A6A6636363633332324E +:10695000A6636363636332323232A2A2A2A2A2A20E +:10696000323222A2A2A2A2A2A2A3A3A3A3A3323242 +:10697000AAA3A3A3A3A3A23255B5B5BBBBBBBBBB04 +:1069800055B5B5B1B1B1B1BB555555B5B5B5B5BB3B +:1069900055555555555555B5BBBBBBBBBBBBFFFA94 +:1069A000B5B5BBBBBBBBFFFA555555B5B5BBFFFA7B +:1069B000555555555555F5FA55555555555555FA9D +:1069C000FAFAFAFFFFAABABAFAFAFAFEFEA6BABAB9 +:1069D000FAFAFAEEEE66BABABBBBBBEBEBB6BABAE2 +:1069E000A111A1A1A1A1A1A1A111A1A1A1A1A1A1B7 +:1069F000A1A1A1A1A1A1A1A1A1A1A1A1A1A1A1A187 +:106A0000FAFAA6FFFFAAFFFFAAAA66AAAA66FAFADE +:106A1000AAAA66AAAA66AAAAA6A6A6A6A6A6A6A67E +:106A2000A6A6A6A6A6A6A6A6A6A663A362A6A2A298 +:106A3000A6A6A6A6A662A3A3A2A2A2A2A2A2A2A260 +:106A4000A3A333323222A2A2A3A3A3A3A33232A2CE +:106A5000A3A3A3A3A3A2A3A255555555555555F5D8 +:106A600055555555555555FA55555555555555A5E1 +:106A7000B5B1B1B1B1B1BBBBB5BBB1BBB1BBBBBBB8 +:106A8000FBFBFBFBFBFBFBFBFAFAFAFEFE66BABA6A +:106A9000A5A5A5E5E565A5A5B5555555A5A5A5A546 +:106AA000B5555555555555A5B5515555515151519A +:106AB000A1A1A1A1A1A1A1A1A5A5A5A5A5A5A5A5A6 +:106AC000A5A5A5A1A1A1A1A151515151515151512A +:106AD000A5A5A1A1A1A1A1A1A1A1A1A1A1A1A1A19E +:106AE000A5A565A5A565AAAABABABABABABABAAA34 +:106AF000555555B551A5A5A5A5A5A5A5A5A5A5A57A +:106B00005555A5A5A5A5A5A5AAAAAAAAAAAAAAAAAD +:106B1000555555555555A5A5A5A5A5A5A5AAAAAAF6 +:106B2000FFFBFBFBBABABABAFFFBFBFBBABABABAB5 +:106B3000BABABABABABABAAA5555555555555555ED +:106B40005555B5B5B5B5B5B55555B5B5B5B5B5B575 +:106B500055555555B5B5B5B5B5B5BBBBBBBBBBBB41 +:106B6000B5B5BBBBBBBBBBBB55555555B5B5B5B531 +:106B7000B5B5B5B5B5B5BBBBBBBBBBBBBBBBBBBB89 +:106B8000B5B5B5B5B5B5BBBBB5B5B5B5B5B5B5B5A9 +:106B9000B5B5B5B5B5B5B5B5B5B5BBBBB1B1A1A1C9 +:106BA000B5B5BBBBBBBBBBB155555555B5B5B5515F +:106BB000BAA1BAA1A1AAAAAABBBBBBB1B1BAA1AAE8 +:106BC000BBBBBBBBBBB111A1BBBBB1B1B1A1A1AA46 +:106BD000BBB111A1AAAAAAAAB1B1A1AAAAAAAAAA9A +:106BE00051A5A5A5A5A5A5A55555A5A5A5A5A5A549 +:106BF000A5A5A5A5A5AAAAAA555555555555A5A516 +:106C00005555515151515151A5A5A5A5A5A5A5A5CC +:106C10005151515151515151515151A1A1A1A1A1D4 +:106C2000A1A1AABBA1A1A1A1A1A1A1A1A1A1FAFA7F +:106C3000FAFAFAFAFAFAFAFAA1BAFAFAA1A1BAFA3F +:106C4000AAAAAAAAAAFAFAFAAAFAFAFAF1F1F1B1E8 +:106C5000F1F1A1BBBAA1BABAAAAAAAAAAAAAFAFA37 +:106C6000AAAAFAFAA1FBBABAAAFAF1F1BBBABAFA1D +:106C7000FFFBB1B1B1FABBA1FBB1B1A1A1AAA1A126 +:106C8000B1AAAAA1A1B1F1FBB1B1A111B1B1B1B148 +:106C9000FAA1AAA1AAA1AAA1BAB1AAA1A1A1A1A13E +:106CA000F1B1B1B111A1A1F1B1B1B1AAB1BBFFFB79 +:106CB000A1B1FBFFAABAA1B1FBFBFAA1A1A1A1B1AD +:106CC000B1A1A1A1A1A1A1B1F1F1F1B1B1B1B1A164 +:106CD000FAFABABBB1B1A1A1FBA1A111A1A1A1A1D5 +:106CE000BA11A1A1A1A1A1A1A1A1A1A1A1A1BABAD9 +:106CF000B1A1A1A1A1A1A1A1B1F1B1B1B1B1A1A1D4 +:106D0000555555555555F5B55555F5F5F5FBFBFB61 +:106D1000F5F5FBFBFBFBFBFBF5B5B1B1B1B1B1B1D7 +:106D2000555555B5F5F5B5B55151515151515151D3 +:106D3000FBFBFBFBFBFBFBFBBBB1FBFBFBFBF11121 +:106D4000B1B1B1BBB1B1FBB1B1B1B1B1B1F1F1F11F +:106D50005555515151515151111111B1B1B1B1A10B +:106D6000B1B1B1F1F1F1FBFBB1B1B1111111B1FB55 +:106D7000B111111111B1B1B1B1B1B1B11111111103 +:106D80005151515151515151B1B1A1A1A111111103 +:106D9000FBFBFBB1B1B1B1B1FBFBFBF1FBFBBBFBFF +:106DA000B1B1B1F1F111FBF1B1B1B1B1B111111149 +:106DB00051515151515151511111111111111111C3 +:106DC000A1A1A1A1A1A1A111FBB1B1BABABABAAA5C +:106DD000B1B11111F1F1F1F15151515151515151E3 +:106DE0001111A1BBAAA1B1B111111111A1A1A1A1B0 +:106DF000A1A1111111111111A111111111111111D3 +:106E00005151BBA1A1515151B1B1B1B1B1B1B1B168 +:106E1000B1B1B1FBFBFBF1B1111111F1F1F1F1F1E4 +:106E20005151511111111111B1B1B1B1B1B1F1F112 +:106E3000B1B1B1F1F1F1F1FBF1F1F1F1F1F1F1F1F8 +:106E40005555555151515151B1B1FBB1A1AAA1A113 +:106E5000BAB1B1BBB1A1A1A1F1FBBABAA1B1F1F133 +:106E60001111B1B1B1B1B1F11111111111B1B1A142 +:106E7000B1B1B11111F1BBA1515151515151515108 +:106E8000AABAB1B1A1A1B1A1B1B1FBB1A1A1A1A116 +:106E9000B1FAB1B1B1A111B1BAB1B1B1B1A1BAB147 +:106EA000A1BABAB1BAA1A1B111AAA1A1AAA1A1A1E5 +:106EB00051A55151A5A5A5BAB1F1B1B1B1A1BAAAD7 +:106EC000FAF1B1B1A1AAAAFABAB1BAA1A1FAFFFB2B +:106ED000B1A1A1FAFAFFFBBAA1B1B1A1F1FBFBA1EB +:106EE000B1F1B1B1BAFABABABABAA1A1F1F1FBB132 +:106EF00055555551F5F5B5B1B1B1BBBBBBBBBBFBEE +:106F0000BABAA111F1B1B1BAB1A111F1FBFBFAFA10 +:106F1000B111FFB1B1B1A1A1A111F1FBB1B1B1BAF0 +:106F2000A1A1F1F1B1B1B1B1A1F1F1FFFBB1B1B1E9 +:106F3000A1A1FAFBFBB1A1A1555555B5B5B5A5A5C4 +:106F4000F1AAAAA1A1A1A1A1AAAAAAA1A1A1A1A1B4 +:106F5000FAFAA1A1A1A11111A1A1B1B1B1A111117F +:106F6000B1B1B1A1A1A1A1A1A1A1A1A1A1A1A1A1E1 +:106F700011A1A1A1A1A1A111A1A1A1A1A1A1A111B1 +:106F8000FAFABABABABABAA1A1A1AAAAAAAAAAAA8C +:106F9000111111A1A1A1A1AAA11111111111111178 +:106FA00011A1A1A1A1A11111A1AAAAAAA1AAA1A15D +:106FB000A1A1A1A1AAAAA1A1CD0170CDD56FDD216A +:106FC00014C10606C5DDCB007EC4F670112000DDBD +:106FD00019C110F0C90E0006032154C11120001977 +:106FE000CB7EC2F26FE5D5B7116000ED52CB96C3F0 +:106FF000FC6FE5D5B7116000ED52CBD6D1E110DFC3 +:10700000C93A10C1CB7FCA8B73FE95D28B73D680E1 +:10701000C83D4F060021297009094E23461127005B +:10702000197E23666F3A11C1E96974947450751C16 +:1070300076CD7607774A7705786D787B78A478B532 +:1070400078D278E878FE7821794B795E798379A4D3 +:1070500079797079707970797079707970797079DF +:1070600070897092708970A570A570AA70AA7079E5 +:1070700070797079708270B170CD8B731114C1C347 +:10708000CD70CDAF733E0F18131E0CBBD2F070AF96 +:10709000180A1E0CBBD2F070CDAF737B3211C11138 +:1070A00094C1C3CD701E09BB18161E0ABB28141844 +:1070B0000F1E0DBBCABA70D2F070CDAA73C3C670D2 +:1070C000D2F070CDAF737B3211C111B4C1C5E146AE +:1070D00023C5010900EDB03E2012133E011213AF8B +:1070E0001213121312E521120019EBE113C110E182 +:1070F0003E803210C1C9DD5E0CDD560D13DD730C10 +:10710000DD720DDD6E0ADD660BB7ED52CC0B72DD64 +:107110005E10DD56117BB22007DD36160FC3B67147 +:10712000DDCB006E2014DD7312DD7213C36F713D71 +:107130004F060009097E23666FC9D5DD6E14DD6632 +:1071400015B7ED52F57DF24B71ED4467DD5E0CCD68 +:107150004A74DD5E0ACD56745F1600F17BF26671EB +:10716000ED442802155FE119EBDD7312DD7213DDCA +:107170007E07B7200BDD7E082FE60FDD7716180B94 +:10718000CBBF21B479CD2F71CDD471DDCB0076206A +:1071900025DD7E01E60F4F060021C971094EDD7E17 +:1071A00012E60FB1CD8373DD7E12E6F0DDB6130F6C +:1071B0000F0F0FCD8373DD7E01E60F4F060021CD4B +:1071C00071097EDDB616C3837380A0C0C090B0D0B5 +:1071D000F0DD770EE5DD7E0ECB3FF54F060009F1C1 +:1071E0007EE138140F0F0F0FB728E6FE102005DDE3 +:1071F000350E18E0FE20280BDD340EF6F0DD860893 +:107200003C3801AF2FE60FDD7716C9DD5E03DD5692 +:10721000041A13FEE0D29F72DDCB005E2060B7F24D +:107220005A72D6802803DD860521B8734F060009FF +:10723000097EDD7710237EDD7711DDCB006E2858C7 +:107240001A13D680DD860521B8734F060009097E22 +:10725000DD7714237EDD77151A13D567DD5E02CD49 +:107260004A74D1DD750ADD740BAFDD770EDD770F63 +:10727000DD7303DD7204AFDD770CDD770DC9DD77DB +:10728000111A13DD7710DDCB006E28CC1A13DD77D1 +:10729000151A13DD771418C01AB7F2597218CA21DB +:1072A000B272E5E61F21B6724F060009097E236619 +:1072B0006FE913C31172DC72E1722073E672FD7222 +:1072C000027308730E7314731A732E7349735C730D +:1072D000D47224731ADD8605DD7705C91ADD7702BD +:1072E000C91ADD7708C91AF6E0F5CD8373F1F6FC0B +:1072F0003C2005DDCB00B6C9DDCB00F6C91ADD7731 +:1073000007C9EB5E23561BC9DDCB00EE1BC9DDCBE5 +:1073100000AE1BC9DDCB00DE1BC9DDCB009E1BC947 +:10732000AF3211C1AFDD7700CD7473E1E1C91A4FFF +:10733000131A47C5DDE5E1DD3509DD4E09DD350907 +:10734000060009722B73D11BC9DDE5E1DD4E09068C +:1073500000095E2356DD3409DD3409C91A13C61746 +:107360004F0600DDE5E1097EB720021A771335C22A +:10737000027313C9DD7E01E60F4F060021CD7109AE +:107380007EF60FDDCB0056C0D37FC9C52114C111D5 +:1073900015C101BF003600EDB0C1C521B4730E7F29 +:1073A0000604EDB3AF3211C1C1C93EDFD37FC93E80 +:1073B000FFD37FC99FBFDFFF0000FF03C703900318 +:1073C0005D032D03FF02D402AB02850261023F027E +:1073D0001E020002E301C801AF01960180016A01AB +:1073E0005601430130011F010F010001F200E400CA +:1073F000D700CB00C000B500AB00A1009800900002 +:1074000088008000790072006C00660060005B00FC +:10741000550051004C004800440040003C00390039 +:107420003600330030002D002B00280026002400F9 +:10743000220020001E001C001B001900180016006E +:107440001500140013001200110016006A06082926 +:1074500030011910FAC90608ED6A7C3803BB3803FD +:107460009367B710F37D172FC9028020047C740343 +:1074700001010E8021048C740301010EA002A3A758 +:107480009EA2A59DA0A39B9EA2A018E29406929105 +:107490008F8D18E203802003B0740601020D802155 +:1074A00003E8740601010A80220314750601020A2A +:1074B000EAD574A5A702A504A402A204A0EAD57483 +:1074C000A7A5A4A580E5B074A0049D029EEC0003CE +:1074D000C874A004EBEAC874A5EAC8749BEAC8742F +:1074E000A5A2020402A404EBEA04759B9D9B999853 +:1074F000EA04759E9D9B9980E5E874990496999499 +:10750000999699EBEAFB749BEAFB7494EAFB749BF3 +:10751000999699EBEA4675EC00031475A5020402EE +:10752000A704A9AA02A904A702A504B0EA4675EC1B +:1075300000032C75A5020402A780AA80A080A08069 +:10754000A08006E514759D04809D809D809DA0EB24 +:10755000038020046C750301050D802104A87503C8 +:1075600001010A802204E27503010109EA9775A26C +:107570000204A0029F9F04029D02809F80A580A418 +:1075800080EA9775A70204A902A7A5A4A2A0809FDC +:1075900080A08006E56C75A40204A202A002040289 +:1075A000A5030102A4A208EBEAD075A29D9FA2A2A6 +:1075B0009D9F9DA080A280A080A080EAD075A7A0FA +:1075C000A4A7A4A2A09F9D809B809D8006E5A8758E +:1075D000A0029B9DA0A09B9DA0A5A0A2A5A5A0A246 +:1075E000A5EBEA0A7696809480938093808D808FB5 +:1075F0008091808F80EA0A769B809980988096801F +:1076000099809680998006E5E2759802809680942C +:107610008093809980988096809480EB03802004EA +:1076200038760301060D8021046A76030101098082 +:1076300022049E7603010109EA5976A706A508806F +:1076400002EA59768002AA0202020480E538769E98 +:107650000280A2A580AA80A9EBEA4F76A7A580AEFA +:1076600080AEAF038001EA4F76EBEA8D769796976E +:107670009680969696EA8D768002A5A5A5A50480AB +:10768000E56A76960280969980978096EBEA8376F3 +:1076900097968099809699038001EA8376EBEAB603 +:1076A0007680029B98809B9804EAB676960296961E +:1076B000960480E59E76EAC57680029B998098962E +:1076C00098EAC576EB9902020202800AEB0280205A +:1076D00006E0760301010F802106F1760301010D1A +:1076E000A902A5A9A5A7A4A7A4A280A480A50480F7 +:1076F000E2E10DE4029D049D9B9BE10AE4059602F4 +:10770000809880990480E2028020031A77030106A2 +:107710000D8021033C770301010BE406A202A4A61D +:10772000A7A4A6A7A9A6A7A9ABA7A9ABACE10EE4FD +:1077300005A004E10DA2A4A5A980A5E2A208A4A623 +:10774000A79D049EA0A29D8099E2038020066677F3 +:10775000FD01020D802106B077FD01030A8022069B +:10776000CE7709010509A5020280AC04AA02A9A7E7 +:10777000A5AC80AA04A9A702A7A780AE04AC02AA60 +:10778000A9A7AEAC80AA04A902A7A9AC80B004A99D +:1077900002ACB0AAAE80B104AA02AEB1E401B3B3A8 +:1077A00080B680B5B3B1B3B3800CE402E56677E28E +:1077B000A020A220A410A510E401A002A0800CA08B +:1077C00002A080069D029EA0E403E5B077E28D0250 +:1077D000EC000DCE778A8C8D8F02EC000DD8778C63 +:1077E0008D8F91919191918D8F9192929292928F92 +:1077F00091928F8F8088808A8C8D8F8F80069102E6 +:107800009294E5CE770380200521780000050B8057 +:10781000210556780001030A8022055678070003E7 +:107820000AA50180A5A9AC02AEB3AEACA9A20180A5 +:10783000A2A6A902ACAEACA9A69E01809EA2A502FA +:10784000A9ACA9A5AEA00180A0A4A702A9ACA9A734 +:10785000B0A080ACA0E2990C800299A20C8002A298 +:107860009E0C80029EA0109902809999E201A021AD +:10787000067778FD01040EAABD04EE028021048E75 +:10788000780301040EA02204A0780301040EB501C0 +:10789000B1B5B1B0AEB0AEACAAACAAA9A7A9A7EEDB +:1078A000B1A510E201A02103AE780A01040E98945C +:1078B00001989B02EE01A02202BF781700080E99E2 +:1078C0009B019B9201808001929401948C018080A5 +:1078D00002E201802202DC780300040D9D019EE19A +:1078E0000FA502E6A5A608E201A02202F27809008F +:1078F000040FA9AD01ADA901EC0002F278E201A0EC +:10790000220208790300070E9FA201A29F01A2A5EF +:1079100001A5A201A2A501A5A201A6A901A9A601EE +:10792000E203A020043D790600010EA0211847794A +:107930000900080FA023184779FD00080AAEA50129 +:10794000AAAC02A7A504E2BAC108E201802202554E +:10795000790300070BA50199A79BA99DACE202A0A2 +:107960002008717900000A0B8021027D7900010452 +:107970000EB1B808B8B304B3B104E57179BD02BA69 +:10798000E57D79028821039D790300090F88220390 +:107990009D790301090F00200200180CEE0024025B +:1079A000001C0CE201882304AE790300090FE307F1 +:1079B000000806E2C879CE79D279D579DB79DF790A +:1079C000E979EB79037A137AFEDCBA987601FFDD68 +:1079D000CC02FFDD01EFFEDCBA9801FFFFEE02FFF3 +:1079E000DDFFCCEEBBAAAAEE01FA00CCCCDDDDEEC9 +:1079F000EEEEFFFFFFEEDDDDCCCCBBBBAAAA999972 +:107A0000887701FFFFEEEDDDCCCBAAA998887766D9 +:107A1000543202FFFFEEEEDDDDCCBBBBCCDDDDEE94 +:107A2000EEFFFF00B78E2777233E0010F8C9D5C5BB +:107A3000E51ABE20062B1B10F81807300548060073 +:107A4000EDB8E1C1D1C9E3E3E3E30B78B120F7C9B5 +:107A500077230B5778B17A20F7C91A47131A6F1397 +:107A60001A67D5C5CD6C7AC1D110F1C95E235623F2 +:107A70004E060023CDF87AC90E0746CDDE7A230DD7 +:107A8000F27A7AAF676F010040CDE97AC93EE00E25 +:107A900001B047CDDE7AC93ED0CD0E7B3EA018EFB7 +:107AA000D57C160062E6F8CB27CB12CB27CB125F32 +:107AB000CB3DCB3DCB3D19D119CD067BC9CD237B29 +:107AC000EBAFED6FF630CD157BE60F1003ED67C918 +:107AD000ED677EE60FF630CD157B2B10E4C978F309 +:107AE000D3BF79F680D3BFFBC9F5CD237BF1D3BEDD +:107AF000F50B79B020F7F1C9EBCD237B1AD3BE1378 +:107B00000B79B020F7C9CD187BE3E3DBBEC9F5CD17 +:107B1000237BE3E3F1D3BEC97DF3D3BF7CE63FD340 +:107B2000BFFBC97DF3D3BF7CE63FF640D3BFFBC9A3 +:107B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:107B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:107B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:107B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:107B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:107B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:107B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:107BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:107BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:107BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:107BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:107BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:107BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:107C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:107C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:107C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:107C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:107C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:107C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:107C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:107C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:107C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:107C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:107CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:107CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:107CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:107CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:107CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:107CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:107D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:107D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:107D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:107D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:107D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:107D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:107D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:107D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:107D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:107D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:107DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:107DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:107DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:107DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:107DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:107DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:107E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:107E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:107E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:107E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:107E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:107E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:107E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:107E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:107E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:107E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:107EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:107EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:107EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:107ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:107EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:107EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:107F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:107F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:107F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:107F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:107F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:107F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:107F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:107F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:107F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:107F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:107FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:107FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:107FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:107FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:107FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:107FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:00000001FF diff --git a/Sega - SG1000/rtl/roms/CastleThe(32).bin b/Sega - SG1000/rtl/roms/CastleThe(32).bin new file mode 100644 index 0000000000000000000000000000000000000000..3b2e61e42d80a291102c57fec14415ee8f5bb51a GIT binary patch literal 32768 zcmbTe3tUr2+CM($l9L2NE`WGIkP|^0t=5Q&5iwx3(yK^sw%gqmYpb^Fs#IG*5fTEZ zVC$vU)v7DH-D|sxcHfp>-ghOXP}6v7*)H0Psn9mqEh40f(26Jj@0@_`?!Ld@|MUOn zeI z+cDcQD|6q0%ZWhK=$+O!$-Jq;NPJU&9g zy9meN8hQTjF2&64mzBx@ZzZDq4AUTRAN?&`x@P{4A!3V_NKT9V9AhQK+W@zD7Q?!Zx`oQHhpWo0 z*4B_MR^niYjv}pO3qqFzFGC;w z%_n={`Rs4L&6htqQP!1nHTRzoyd}Zffwg6qI&p^Q~QszPx{v$ziC)^(W`vt-PQuWOWeCnGWq8S!=2xVnbdPtK(E z(`8rewp`FP+Bmt56~79$^jqV&tkgQiXd9K^kf$%Vrq<2yzG2hA%_QDVU#QD&yWEg5 zsxCXjid(7^zZnV9z<={Pda*pCV|Z34&Cg$S{~e1SxJ}Akke`3AB;_x@ZBZ`ol7ydf zLu{1MWfi`Lhl_TNWB$ku5iZJ=CXDAfhKX_U-?+J>E<6tK-|XY+>wD_i!wB!=4j<|{ zz#cxp2Y=2{&(Xuc96EaR2Y+|Z@7dqIbhPIs_9(zd+4^o*(l>30M<4uQ_RxVB53n!d z$L_zxROai|4uLnZ4XzW(0eHfBt#b7qkp^O+ObEgEFkq^s}4+W!M3a#(*;X zS);Zo+w&6RI(+yDdl-##_{ia&-;D%+rw0Ep68r-VeihyGNB-rWKl2S=MFlf7F7`0v zI(YC9d+;!O@X*1Fgj=WiD*6cjo0s_CeZ>XWfnc9N|GyG`#o`|cyvQFs#2*gs(x4q! zGy+#I@gGudjP+Lj(}-sr|CP$$Z~w&a-@`t;mHXoNukicp*=OsyFJAgJzyH^)8!%?8 zl^Im&NC(SEEO9YRA4>?!E@sJxEYrsj!jmSJ{gC4pGr*EurkDAHm6;;Y!ZVemPeX?u zj>XW+lRn~NsgLVrApHdb0nL84et-QX<|2tI5cYG_AHGOpekOduxg@RzB)ryTg@>b_ zaqwv2d6wC=r;kx%)d+jyX;Wyw*B&_rnw2~EL#B!D|Kh30LVOw5;5CNC20{|Hnn zBa+Cn{1+~!L-S2o__b~y&mY)#h&{0Xz#$z4xQ@E`Ums;(;_J~r_yg>I{)_$m{zIsn zLkA9Z@6tWX@7e=#-^06h@7m)+K@QaK=Uv0_9qb;~wa>-x+s_{0_q#x~o886JQt?04 zGGNDL<=00%+D^DK+B5B$3RQ2o(gAAPC*rQaICCHuu8w*JsC;OK9E|I+UdMZj+M zS+{40r+Qa)&F-45d$zib>{hp1t%_D{3}-N*D$F{DF`z0mB@s`W<~<#)3KxIq5W8Qf z=YNgFg?+jMdkik!Zej0!-LLsW2Mqi8J!X*9v%eNlZhnLYu-)ule*a#UQn81G{n8gM z{#g`j&n|X9uzQ4k{C@UO)P6c{MD6BxvAg*_h_MIm2=;*4B_PTkVSf~|VFBKYfkUk% z)kRF2%f9^w_5;UKci$fA3zYUE(Us`7?zu<=mu~C+t@~tpq!^vU{k z{WSeV{VZHLxWY`B4PR%&mjb8W{u2|vbndNv&)m=UD(Bu}FNJU2U;MiVnDgPDEsv9t zQ21+5zQWwCU!*V4Dty5$(77k?WfNnqCv7i5dwz&wo_Wka5&&z18dA+dMN$cEng% zZ*y#vb)46K#!~4OW2|p_GYQ3?u^jalKCv*Zh0&9qV@@eH&dJ2aHN?i@3R+`HVs~ep z*WBrJ4fp~-Hv}Gxi3_YZ1fGfstceP&h;Hn;_tfF&z|YNr2Q8akm9&A(1XHsm8f9H0 zjSrLyjZ%DIeQe;VxaRkx=Aw9dJuQ^ax==5dM9EJ?i`nggHSvKJqmWSl=5G&2^((p5hTO1d3z8t0gH8394zGqFzJ{6vvBANsZt3M2qiR7aD?Hsn$CUH=6LD zam~Mtt~UJI&|>(F;Vr`n!)o~G^_k(SK_9c)u-S0RP;1CyycxVVBi);^z?<=ycZ@Fy zTn36YaEnGVd8G8JE zNpY>CQ6OOS<&6@XR2(<+of8JMz=+ULdAMpq)(`>6toOwj zD+Iphub=o1pEw`JDu#QH+sXZmo5F?Jf3PpJ)$B4hhaJlj_Dkj@)4=RyT+EZqgUo!! z&ZIIXhA`ifugF>ACr#uv@-jI>_K}@rD{+xh@)TK3R**vS2zh`Mkh{t4WC6(~*<>~{ zpHA##3b~os$OMv3#u15(CCOwANhBgkAfre;i6gPZ0{@eOZlaw@G=J|CbUja&Ik>kS z-193N&6hWip6Zj&m>M$7O{zvM8LmAePcmkio4QzAkNg!dU)B1o=B9F!oj2JfpT8CB zg}5ebhmmO_mRzG;Z?f()S|%qlINOi2TJ{|8n}Q~3-JHp|d zPd@wXvsCbS?8Mr&g{M!S{`lO*i$;V|FToc1WfRsd<8xAWX7}#hM;8#o-?ksT^omH*yV4gd zxZ6SOqW#4eMeuJgIaLEaRxA_C96z;_1!Y~YzqtD`QaFg~F$Y;S^yH&6>~{{@8u8ZHOOXGQ{ZFdp9rZu^_Ia%SSUnxK@1aNZf4FnPTHC7^Z6^wXv>j$%kEAnmrs7aUkv#r*$?C_@jO;JL z=YmQ!LgY8CuL=6bG*ZtHGwc~bDmLFwBkwYV{UHdNON@Mtky9o4*i5>v&c>ox{w_t{ zB{_QJv9V&ed@fUdA~x&G+Qz1=bWfwyoArKeMnauaoAG|FQ^;Vv^4H_CBrMGtpHq{O z?#al65$CH~>&+g4D^4>HS?3OW#Mc@!e6<;8YBM@&GdgQCzNpRkvNq%ET6yD4#8+5} zp9@$6*56q8D~#=qh}M+YkTIq%OY$g>N(Kx~N`|CyG60DkS!1x`Pdj~a3L?NJDk_YO_%y`K}qwyJAtN zmN3bh;mOOI>B%=3WY_4%tTVN?D8=H4c0Na$!j$5)p!`|>T7`8)DH^+wz}&)#Iz@2s z3E^9qtW&i#juh2sOH?Vv*lf@%7JHPUxAS8eksV85!3xg*4=9(c z{~XQI#`+KXe`@0!I8jZo=zhB~LI$*gGk=n(vG-tR8AlJ(6@nEV7 z32WsG)Vp0i6z}WT$oJ43w}+sEN4!YOrzjHVznHC-;}k@J^M5s0VVeB!`pWK}Kwzw4 z{a=-K8EiWLKe~7X&Xsm?2)x_HAaKg3a=EO4vieL?HBcqb?K#&^m!qfW|X z6d+1GtVb6!uGc!7Grq4KcdgdP^FEF5^m%Eu{C%wxT9FtGbS{zDgs?#kEtS}@;Y~-C zuNc{z8!lW9EbqmTx1z{w-ilky8wDHlc>_jjXgg1j-W;j9mI;&;Kc^0b9% zi#mO>F&R^FvLWKeTQ1ck{yc$LdBQC8$WKiLv9G~rt?^hiL@*mkd82LA=`}_|tvrw*j|L>s+zS{5aq_bZ6V3g@BnC+%_bd<>C^7IT3&c5!37&bki5W_= zGzxvOODo+Jq^Ue67I(_RLNQTE89^9T#A=GjT__S{@@Jn~H)-WQt5Yqs*=IfDvGAz8 z(g>W#Ep%TZd0Sp=szV! zZG!RDzgAu7BpQs9z36x1`(<9f;bB5(L z^Fm@8?Ntlez#>j98M^)pQ$u1*Q2tFKkGnG@Kb{A@&q+&o66Hf;f#6Dvv*|JFaF4NC zoK5!~XuZ&pTfF&3Tv7S~I!Y!GBoF8lcDB(6N%NhaK%8v9T#-yiXpGNXy2y;@ zLvy9>G7Rj@TnzP0_E`wnd1(RI#vnNw5}%grl}s2YdEA&Z{^36;PQV;DfnbuO^B9KX zDJsvHEi^X*bV@XQKW-e9L69$O`x+Y3bwBW$(Wyz zLL^OTIPF}x?KCc96VyJ|h+G+?&eD)9f0}xdiH)*&Bfe3rs>+ok*NpLg&5 z(yb5Ne}Dd>+()E&_szfK4r$tKM`rH5w=KRmKkwdo_uO;a0x56aJ-11ZNcY{AH!p&1 zZ?W_pY$?y{>Wg${e@<*%NtY=$F3n37Nw}xbo7< z#j7syLPJJMUB=it(3Lly%+oqzON~!ZZN>lSO49R;^ylrvAE@l!w4iH~Y{WmYB_~H=mj}yNY*cSQEDPD~< z=o8Gt!w}^>E-lU6*T6`m=T^o{x_fTz7U}HmzbSHcFX}!XA-X8&u+kZU4@dOL&rFte zMyUD`wfL)A`L(gusrSekDNfe1c(1%B#TvCJ5_0XMp>J=utS3U6{HIL()e!R|KO6B2 zOp7e0(#<>Dt@2IdEDS488Yk~cRv~uzPh+K<<*&wi&7ZU&XRG2J7lhJ(q4q}$im|aO zE$?mqF2ze169aPY1jO+PE-3C2Xa26ihagqC37^Q2_{eswG#pa5a0MOE&YWJpL@}Ne zuinCs9W7rU+pXS{(kD+&N!bjW#6{m}*^nwo4eF-tcV~SQ$VB?H5HZo`tR28&;r&|c z#)U>*RxJKTpH@Jz;$?D8HQA>C{%Oq%IckrHzsR1QCN!82IY7f>GW&1H!{-w855O z%Vvdl#44iQSf)sJeY^ZocH2gjz}va8(ZO=Sj52uZcK$4VP+bEijp%hwQlE^wG`42PV(#0+e(ncZ%8ZyS!Szr5^#r&L{onmz@ zx0v@bxkSF0Y`yn(3wZ}tEBLR;Ul4f;g9N{jKOxp}caA$-8!iI!DoFrqM z2g{>0tTWT7)39HVuE=Y~$v+!s{rj-c7ph&L^{z-4kkl@4?5B}X$9~!&{*WID^{Js#!y)^N z6xT5vT1-Q-bDWjG!xBRT+GDI&Zx@oO+jl#C3U@@H%I!iF4G-Qf@Nk9U4rL{G2uTQ| zGg*lRTV+n8{7$BGl3b54!!VrlUWBbg&oI2RfO=U#S?2@Pi#hUkN zY2>$OHu|hgoMkdGK8)P=&zNkPLEunmtYzKAVW^djvphXfg(1fuhm^p|-U0iol_7!1@m?wT7Dnp|{j(YT|oC{l?E|67=1_>r z9L5#BVYrE@gPFs*eE=2>*em2cc595D;bRV&6aw2D$%puZnj6&I9^EICAmmi)U zsqJX_`gEffHVipEGwTa#PZ*ZRHdQ!7{Yb|Q^cnL_ROT(v5JiW=NhZKr847F2nBft} zE1C#MC2OEmv5FQ4BM?)iawdZex~pZJhlzzrI+DB2NYg>qAzurYN%`{I49?p4=Sdt4 zBC1ft$cJu#{I&G-1p`%;9;~oMJC%%fmC8oEzSFS%pm6HwoSXGEN|JS6nl&j{n{@%Q zrAtf0SdN9$Tny*sT_%`Z%Ka;CyfaLXY@DiXw~%J2*KJghfa%97*9!V_x|mKg4`TU3 zn@8S1!5T-5Oai%(UZ#wd1_IVg69bEgGyJAaL@C~s(xI*g7BO#1W1ve17O`(iv4J&Q zUsPTIGCpz?$iqK{F!6$jaBoL-;JQIC(O`$|R$MQjak{ zsG>S2kH>Gb5-;^y4T(kxDq;Vv5QZ6%MxtuG05#tF*3ILTTI(n>P6H{?*3rW4CF68d zT;vCA@|=lQU+oNPW`ZeQ1<`Q1a-SoFiH)WV)4best8=nEZ2}0KQ-G zIekKUIv$h3(um(`gpl*j!w_>CD+eW#XH1auCkVL+o3F|8tOm-9Wir^K8PA!hGI7OO zk5;5oy19#4av^==CHkcG-RtYQFHma~pp&i2lJ{n{bxx*7h5CHK{uX)nJa4GCGn@BW z4Wk7En3$__*BwQ#M122LSjX%u53Tr*dcIMUeO~_dPqI zGaU~=JADi8k(;w&DnVEF$uG@95vUH~(95DNqDfdB7Wl2YJ1mD8!AyrmjM)6}2uh)> z3Iz)*Ycp1%uSaZ-Ff}glz#{1T4}qu~zW!p@HuGS&c%|ESy7{YxlXP{oZ%0vJ&waW&@e&q(`jH;v zJ1@nQV|+*}$GDJ2D^)eTqHn9_Q@SAFPo8fod}25!tHU$HIsx6*X-6gdvb3;MYwIRy z>K48E@h*k`Fb%3yx5C+s{gBd7zVnt{f}vNm1XUdn-cIAqYTu#a>krjdL}Ag(MzBCT8xrF%rHZJ z=k-leYRl8mxllH(I;e?O<+>CDZhGz`brd6>>z_pG(#Mgy@tB}ORIC@=c29mTU76%w z$L8E9@w%um@l5<^WptR0W8)IS351K~Vu50K3vU66)tEJApg6%Kn1JH7My(MjjV?wP z1C*dQ=nX(=qoSjtfzrW=0!nXixqyn+N8u2GPOsGqF4un1cxl37gYfiCbW3VN5|@bi zYc!X@jp9dX5`@uOQJbhu62@r8@G6cMHKTNmJ}xRY+F~%rn2bhajL~3>HbxC! z(Fnx=YBHK*EQZ+VxTtvjC|!bfv><9k-u2j||4RlO&||n^KG*~X;|+0!*ceO9 z|11A7u`zLmc*CgZgs9PaQHS~vkTWk*Mz3?an{U4-d&*7AmtW`fx7;~-?(&633#5c!te1=Hu}*p|;;?4%Kq#*8~= zIG5kLaIP&o@2)%NOpZiHS~&~tHpk3qb8cQVM~zJrrKzgf-M0L`DFsN7kNU~ami~0o zL%9opy~T4 zjb^!VIj{0XS<}Tmy5#4RmMvc{F#6cUnFn0nwvI}%uTp8?jW@r#ftX)4GL;ReMPBECz1qrm~Yu0qnOy~+T z|8w+KnVp`EjVx6a8rEkrJ(hG26GIiRX`V)#rj^HfBr9Xso8f^zc-9AFZ@dGBlgSw4 zmUOwK^o?C+TdHdM=+Z91Mh3Qq1nl^*{@qy%5S8`UZiFQ{*pA`l{aP4DHYvOmpB0M@ zGc1H+>ufq_^?)-MlMKvGqfi2B3FmN5Rx5IX-i6X&to<4ln!RPq7Ha3rhTho9Z9#DE zTJi7an{L##I_3so1Yi8HU~Y$*F|dM#Y6Xq$9bqbtKqzT2$xfK6NZ8egpDKR>P1xf< zqmFu5jANE=7N){v9!rs1(jy>j9#ZHyDS;Ay{-fm!79bRp20d=RM~QkLe&US61TE?4 z8E|aiJtMAG(qX{I(QqloX$G(XXlE4=_bKDm@%D>a@pfebEbm+FVov^W*ZDAVu?WO!(e-ZsrU z@wfP>CFBQ18ZGDR5BAe@CBqX^2qleJ7=-9v=avBoOlQakV=iW#>r^2!2PXDBd3_>k z&%yWHdp*tE+kHLQ=_`AyY4h8@7N>c^J)rWZ`C}cXxi*v7Ki3vDkp}Ho_2Ol(9(G~y;b_Bn1@*X`sVy;N~&Ev zGbTcEHBY~hDkzj*-`r}K_%nj&RqS?+lW*>@E4lWpP3R&^{co2lGqE%KhhVeME}1qe zteqN-MX@*G4`R3f8n(HjBfyUm9O9=yImCY`57_yHdht}kQSoFaO45?2*gySbnv!Xs zowd7aX?Di$sswV{s_qrQ%o>!>W=yo4cO}C9-nIz}MM`vX1 zp%M3tL{zsFXhh4}%72O1e*TP}7!otGo~J21ucm-~!ZUQAFp~A}-T#ur zjTF3{d644v_N-kr<}Snx2CXAojF9fmGI?Q9PB82*GK2;&i@zwE4P|*pcd4UtT0JB$Zgw zrOkuwaN=~QZf710XZlpoEjnH->Ya+}J%YW>1{5D9MnSC$>DD4@729%orx#(Lh1TQj z>DW>XMfPkVCXKeSc@2=1(~CyL13)S|b0d@&A{&%w)d=PDBa~=O0BK9#pj0<Ir1$A!yt`?i8F>{My4Zx6uktwE^PDrLE>wHMx4C?~= z^ucOAw^$KAgtgMAj_(|fZD7a?LPe&-L1()83P!ur)HbP&SR=2T^S%URi)<0_V{db(&IMaudDH@pN8J|WR z3byxOR_!Es0Y%?{**GJvP8^TP89ZdgA<;x+(Ls$8anyzsTPNypkR+2y%M4#bSAji- z+T)h?H3B#VHAs-x|5(H8^97?ISpS$I;}lSesu*o2xrEQvQRt-J45v zc)x&EZ)P!(h?xXi+A)N829cy}&*{W0(CzI^gAF~{Peq4HP6#rUosJ`LURd%jM2t$< zJa}oAHHumqyCbH`#e3VSbrMz6j)dUqhFvp)usHxBM8l*Z#NfeLV9@qp3s;>j=@N90 z9ksL+V+9>7{Bt4~1{h48bcFrqESYtHue3DPpWx`k1^|Gi4yvC`_dqE_!>dhB%~e$C zg_W#wz^7__QNul=3Sp}IsC~n_aGND>8%~MRqo#B;$@4JzYQXta#)?{J6098!Fn6dE zr;8*uFfNw9qqeuo@~bMQfv3@Y?ZKW$&el>js1rsVt!3Pu)Zg8PTKcRu<6P~yPhhhr z;{vtvSclJ<3}A!!^`uW~%k#Um*=SfrcdBX*H4p)o2IA7lc$g0(WOUYNwHW6uMx7X= z=p;Q25)2gDQhBw52H2Cz*puR`=&6eN({;1EOwDgN&?S(?zhwNUAArNGJUV;Wvv-b9 zUOGp9!;y8aR*63;ew&YUS0b>s=aE2dsbX+w@xB))*JR+3xskM&HojYxC6CQ+qZX`C z^Rn3rZ%@fMU)vrrdf`dUULyqmqy%{L?F^SMzKhdV31_tV!$zyX;osE*V-#G^CVa$T08ly!t zZ2w5@jAR~ad}n3WhH76epCTGka--d0nctGanZ*gzQ-{fwcH;ifX zZEQT*zNz&-gfUsqT}sK@e8z-GMBiy_yxBFDh1)~}1VCf?-8Pv1h1!vd5;z<;N9De0 z6SCj5vF2}`{LZt!NCz^h9mxE@b|8NaC89m59OGqTH5>#<`E^c`3nLy}j{EpKbDgrOKCew!D|h5q&yzOb~FUyE75+Xmh5 zrwN$vFiog)kC@noGyJ3#iXrix?zfU=i@AAy+EZ!Q%h}@VE12tR2&M(Va8P4SN=TXN zxCd2rht=F{S=_A5l47yBj!`$a+2U$ey<$4fwrT0U`!T4Yvn5vKaj;E`ZBYPp${YY# zQLrvraRlOcV3@(J&}pJZu2LYB1Io;SbJ2Q!{X%=b~QI()F?2;p`T_l)IfOL63YAh#c`Uz;L_8Z-!(1L;h4n ze0u%ou=R^vH@b!f( zs!U}QZ1;E5O6rERWXDn;1PI$^x+Hl)PMl7@D+eb+e5l?Q6_6AGnDKTsgRPf)bKpqS z3F@gT;yVpa;`fjR6$-mD*K;KADa^RJ)PApOo znIbu{GuV!N7c~ev|LoD`YdD)yPS2;9FJh<*wp)+a%E26gx9+cn7X5t=&ai~>R@r4M zvJ2*b1aDgyq1rYSr#UUyZy!jEk+9Ok%NF|kf5wR52Hu`yod^Kb4P~Lg-DWL19 z4_^Ov;9B*nXDheVJzcW-sU@2tD1skPc$6GRM&Z}vvS2@&p2}dDIJ|R0$Y?SeiHi)Z zu|g_IWnckhhA$k|*AX3Fu-3t6AO^<3syB%tF>DN@-mtb9ai&1MSQ9ZZBR92;k77ru zHtR94BaUHl!Rnh#l9^;SnWJ|KNdYe@9KBPR6gCB~%+vb@J>p@}87#q~AG#YElZd4_ zObhfRMOp}Bux85^>ZPYMIe641CHxbEIK+S$iHrJ6q3R^ir9$C6Op7KgZLwg0pEa_f zPLau%ujOK)*?3an?Cg0T7D9fkj*(i%ZJwoDJWB)}&Jx?0jlJGx8k_roi;r+?9shpEj)AKp$aA?#^LDY z(j5PN(kzCaau-div=PEuilI8KFW#U!UrOmGr07I6%SLs!&- zQ;$g+s`@<2AkLftmkb=;knJ*IJq5EV1FVw_;FLxu)>$(=m?g%6Y^?t-Tarqq=p%%5 zzmyVk!-RR+s#TJ14pA^e!I(nSfQAP0Q44D$#g+7$@hE)Mcu~uz!^5Qe@3lqwe`4cf zriiRzatSJ+6z0t`SUNY;nrl8i59R~dE+eMQz#<;H!iC8f#$WKsw)x{H%hTs$f~S6} z7L0_4K_kHt*GH8BJTm9|(&I1q#*ez-qsG7szS9rGRHw{16*WV5-j=7s658g!Lo(6% zv;Wp~Icxru3(fD&Pays6;}MvAp)E8eoldm?`U~EVV5R_*4$S27!x)-O5|W8Y;K#!( zlrJ;$X(D_&0^`NLNW`egFc(e9m*eMSWO|wEa)Zfdw>0&S;S1ZbMQx0QpXCmoX*+3|KR6SBC{nATjVDk>}i&9(a(6I4m4< zAHv)PyhL~*fN?&OCO(m2?BW8}TW`k#dNfVDWJ_MK1=?Z6ESYJhc1imEiFEVVG-tdo z5Ll3ZUYc48nm;Gz2a0&KE3**QszuAPQ$!wh!y3mVXi>2E@ldYeii=^IUj$0qJpaSC zRA4z&N~9gB`aLE=b0aK{oq{k+g+76or_84*P(hXh#G!`G|q}-gBQos%vxCso{ z;?+xJxwARM`NeV7cU^@BsHcj4H3tw5mVHN=_8C7Q`GFK{EsA~ z@{z7`#u`(Q(0H0yC#_5WJoF0x9mZ5Nlr$FYYeW}daB^Jjr=os1Z8a@mwdfPfc=0?< zJ>8h9V(}3W+VFG(1I=@0VG!CbZFZ)Ws=S@5cgvO~Mr%Z3C<%pCC}vy1-`|}dgkto> zHdruQg6%M9VOwc!B2)ot#;|BfA{IsYg7#)OX_y#pHuqBtcUz~bFsZ7O*WB7^Oamu9 zw3p))-Ib;2PO6{-IMVCreu4UK>0CMi(anfP+q9XG3c4FXd(rT)RSp};h#m8XRm|k! ztq6QBr{hOgJ*g*V*36ihBg_%@h`Aoq@CaVT0YhDKyZLqz*SOnhNW%TUUlMTXxPR<& z8lEF8-Y4WW9AWT2E5~vuH@*phuR_2xiw)VQAIrHd$CXxbD|_WWbK27R)7av{S!+G) zmBn$Xw{ykZVDY!w!CB^1%V6GA4?CDMe-0C}kIo$(VxMBBF&WHk&6WARx3Ytar!HVK zuRPy$cq@BlT{zre(LH7QRet6A!CBIL`%SrVJ98{1kF>tRawX23vvArKBq$-lE7QNb zvi|(7q10+FlvBxEDV!Q_4CUOKVG7qv`xftY?JL~dx8MHa;uq})*S+}R!Nq$!_P_R1 zYXLK%fXysm7Z$J&7O*P{82on>aQOeZfO)fk{jh+&P$1x+cQ3d8ULN#C>fcqs;Qz|K zLeIS{b00hQJ{Bnav+px~c^^OKehvQf@7L_Ppa0-~-J}Qj#~6tAne*UOoPo6Mwn(m+OD&|K$h2Oj%=H^YEIVuc=;ByXK8G|Fh=8 zn(j6Ct$ldyU)CO5`|8^3YngQ!>oV6BuiLopoptZ7V~d2M*+n@;wM9FM{#N8Ix>WRS z(fFrsdTQcRE1r7dsXspT=ckmX*!9`#bJy3d-?jdK*1x-6*buW}<%ZQ8j%@hdhASJc zZuow~ZBO6v^vb7KKi&HD`%hngnt3MqnX%72{>-Xp-gxHiXEeo8#d*bd74IzGUHn3E zTk$8w`VvdY(vrtZUM@La5-#COGfT5et4eE2KPmmZGZP(@5XmGUfg(P zW7a0;CeNm=n}VCZ*)+avQdwTvon_n0c9wa|@G|XYb~C?u(dL59zuJ6YbNA-n&7;bb z%5N{fr+i=eq4F=vFO)B=xT9i4#V;z}sHhGTk~*E^wuK4bVb7d1J=loNIpWUc zNE6UHLRV?PGsg^xIlPQjaJJs;Q=nZw<0Gzc75kPiADX6oMoXm5AAp_9Qx~D zx!3>u+FwmtyOdjH&=T@M1(^gzpO{^{&xgZ!;aAEi4u{P|Cnjfy!$XA6U2rK(Km4Ul ztq6xr7ld%7;j)HQg^ON$P4j|BeY%VI*SHtlMcUWgPitTE6!lkgzx7mC7YWtw#{qwh zujXFhA96p!y-;0U{aST3Pa&^StkBOb<#trA?bTIRKJo~6U*$s&C017s4r+F|2l-xp zDL0cJRaI0y6OIRtW;md!EUFd&8N8^`c#4(=g(7_GW((@el&Z}U!tNnHykn@tr8{?h zNGUgQUxr;eUaWRCHJ!W4wQ^*uqx{s?4^wk;xC$=3MHw0#?Ca~$5jPe>&+a=_MF?j~ zZC!39C0y9k5zgjFrKedJ)^(Ukt+TAeA)>{!oWOW43=HsL&$V!vtEkJ#N$u$C8yp-O zQcUDo47#S&obqtE^t(*EINz>L<2x4%KACDlBL2Kg|TbC@R8L5ZDX4g82Z%sAf3*MzqXnw&_! ziICxP)JB<537jtZPhp|2atD2c=|f8&?RdB)eqG(-j|Y>JQ^$-kX|=Y#Dm0Cmb5ss; zyw;PMlWOa${dll%=o}sA9uVo24;AAdT? zeY&44KhwG*^L1>GzxLY9*Ir8`r(b#HgI8W@AkuNN<nv2CQi=q?5R^Fr1jLteP6%( z4(a0yw;)n$>!nNF>vg1c#WO!&wD1e!SirUZc7a39tPqJg7PPj0{#ce8E?M1$#0#^9 zg+rwLC%jmhO@tM-+2oyf9(&B;FcZzE&#k_lyz`htoV>7-x}5l8Hc_^V_QH1*l6~v` zBS-e%nq7XO*$BghSUl~CY1B?(A?TJD+#7@|l@>hQ!{J{ERh1SzM#2NahROmw+yz8u z()GEEgivKC9_~)!CV~PP8gP#$+ajHgV<^UgAOxItj!1Cp;S(OUQ$~lYRRmX|Dd46> zZW0x)iBOvh2yfOnG-VKN4rUr2w>LaUyTe0~zz|{&41_`j1%hQ;!AOAAXRl~>yPH>JkGM^o-C(eDO(QN} zpmXyDJKY75YuIs$5fG{`TF_3!KQ(Mq7bfW zBd#u_L$!E{1_p$p%7Fp0GyKQ8x@~oT42KIIdHd~09toT8B7D=fckq=7d|4CJuP{d^R+~o8 zs!+J4)qP)IR50vs<0>l1ii{-FAV$#@cR$p}i&c~a^8}>;B?eN^=`g5PRA`7>r2{?Y zZ=;fb4QDm>v|RtVwUuPY3r z&7rpo(-ysjippt4n4XH<)3~DN3JQvfa1T2K$b?i(b1Q_fM_Dzf<8&P;cfmBF$Wt(_ zNCRP?rZ3VJkeYCK(X=^h`0$aZwx4?jv$u)oa9Gt84qp)e=R!E#*C#bK754QNO)KDv zsv%4NH@7lWMCvqJlSzXyyuPD@>#M?03;zydYHDAJtFNRi=iKq*ZZ{Y5EIWQ&aC1dl zLc4Z_LT)#v=k2bhdZ;t3mVSSbZ>r+7i7cn7VLJLwHo17RO~rAT8TqE&9Zi~~>gtwd zSRsAvW;j?exdHC1W{q`!I5ZG~ZS+|e$)ySy7D!km zX~?r?j7H>vt^42p)3{R$#N*_*;@qU{@+Tj>Rs8RVRJZ)r@<$(hv^;ynJ$@X8LuI3H?P-QEMpZ~#GPVxd3m;oyb2>evlqhR_ISM^jhj?qhKJ5qjlPDe zZK~|hYD`r|EoSJQ8`jE!>Yn_?Qums@0}~wp0^U1Ubf$%o%D9 ze%wc;*IhMjn#IDotEWv{wkyORuidrFO}RRLb>t7*xg*a}!0{vA2*^vQ&Lwc#YG^r4gxEr zZaB=j_W}rn3Vd#j>Zf6{J2eODHT@|HefDCu2zx5tdynh&0A?p1bbgE%c=GG%Fvk^D zZYo6=Tfbf%7Wkq{4CX~uz)v$!6du!xNPW&N6>BQOpMO7eQMsfn4G(;N<;t3hHBeRu z!k3gwLqm2_d3+ff_pN0$NBCtmFRxnWu6c`JR&{*UGK4)xD02JpWp3bsShk819a*-_ z?LJP4+^Z<IyL1%=NvXoJcjD@Lum5yx!MW=xGKR`kI|=oi@!{R9w7a z17~#?={I;9U;8hO`s6HJQB6@%MuxSR-@sX-in!wuga*EdbZa?dPA+;!VN>5wPD)fl zYT;*Bhd$nU`zqn>b~`~E9U5O|Ea6x(2n387A?5Rkt?|bOtD~-w^X&S2FxH+b#j&;-j z+SqkUo0+<$cXKFbsAK4Z6^T2BNJ`Gi=4Nh8Vc#2KS$XEA%rQI8Z_<95b8c|x(z(I+ zhImU=TbtDyalD!~&v>hsZ>uVRBeYe9mg(9&%R-%8Tjh9)Od0Rhwz)$(U_v~Ffo8ng z*KamHuyk|rb2G|*@%F|mbAI;6`lhB(Me3S!WByX|eP6DQ>>Ty_#j>U6QuDtl`TIZm zPE?F>5Utx?hlZ}JbGx;5m8h;djT=XK+?90*bMPA6JV0nv_i?RTsH=fXo7qk3bC(zA zRCHB@b^G4vQ?iwziqxFs?0uDEl?o*%giUNS6o9^xbtT4&B}z`kz|itp*>+Ne@es|R zI+~DXu(qoxw8M>AzN^Sg+#!C)j=^UD0qBiqihe|UBMJ{*&?cH4o|Q#({5g&gd4b__ z!X~VhE1;fr3}&A`b@DRSaVNH3#?l3Am+)dD6jUBRt}E~yKVG28(-la>UO?O&r#)y$ zHKk&Orj&g@sO0SUY}bMFeW^p`Yrp(*(38EG)U~x0P*Es?D-^l&_JcRkW|`+}k6lq6)XWXcJ!qHDv=2u)BaO+71GBKtM?l3+-3L1BsaiOXP4h)n}fPGnt_`9y4Jdm zx(ziOYBu6FT+2Ce`r20m9}FBGIDxD1TIvb=fPFw5NFF!{sJBLVM*DQMdTF0FJ-w=C z=QABo7phm^(qc*9^QaJ zO-XinMGnWqo{(xBYl0@+hp*X{K^gAjK6KlWfME=V^07FBXVwyY_?1*PHNi+>;*AD@ zXVh68(=o-5q)`doqE8^()FhHgQxgUQ7erSOETpb!-HjwPWQ&kIk_MAH zHT6e)RQC<->q8&tEPO2_CRZB`rTth=nT1l{+C`}r&O^8WN zH8d3xUPrj5QRLaCLIMyeMFQ?gO1sO3oY((vHACN0^D+FiR6wW}jr zQMXsSP`6RUEpcRCi*ry(!cKZfn3gf2pVl!}H_7CMY%D`y-@r_z+lnYR3p zPSarasX>|!>Zq_#OSY-$I0%$K@HoQFf2OnDl#_@wf5ZYm(Ko}J6D;^V}|T-{5LmLT~e}cU2ZgD*Den|4DrFIqtU#) zYimuigE$JCTruP?Do`vdVR^w|^<5~D9mSUF`x}sQ-1d)t>v3Mu7!f22~x}5yJYTbyq>sGgqn-Bl%>yNyX3on3)h`p8h`IspMB==&H5i( z|M8ob{@Z<%JFZqXI?TZR(xcI{|MJ3%^Onaz9;HzC0k~;v11y$SJUOC>b<6{$@tcRsQQ=AYr|U|`e(Pc?;-cE z3QEVC_SqLUjs4B%=TB$YzdQcI>9`bcFt=5*cDGk?$8Lj@^l95qV^4#>ywNBYYP9b9 zLeX88b*If1_5Qx7eccDj#@KIAFlf6J8^mRsFI}n+uY3DQEc94y0aDehy1%uMBSa9MIZ+4)=sg1A2|luRe-Jt-B3 zLw(n-&*WXUyW_KG=W_48Cl_Pal^b2xi|SIW))6CRHcT7{z4uafn4B>%X+KO^?i1yv z(A_3uecR4XZ`1p?p6%QA)>-{TMp9?{OI7eTG;oY7qg?F{cwnvjD~~ zCQi+|hEnXXxhz-cszm{ZwUi9R`*4THG*f6jGoC$lA)jUF(ILq?D!3o?-YuwM3NE6S zW@eG|PP!N8|K;Y3TQ0U<+|V< zaclXz<^QO*i=B8{vXmI26FrbM)4n-3l55SeM0iLFi@H<)I)1EM>(X4hvzk?s^VcCU zL^T?@L!?)U708;dq7B!&R?@nMsdjDu#>qL!CnnMEAzM(bxPNxJ`g4~>a+nOXf_xSW zi&>LXlF~mC%Gu5Chq8DiO`VUORkU@#*kTJ zi*m*i`RF0oBBZy-EuzI*$j#R*Cf8~TJWA`j)tzKZ{qUvut7kjyK2ucr2A^Ut17j6Qd= zsr{Q7mJqGM!(lIZbdEYfrw4-gIYx*DkJg2TLr2T*q^~_hiyxx1YyMwmi?wNctWD5cBn~L@#`0l(l@6eQG!Jw#}0&#N)ZZJEMkkQ&1$po zaYPL}aj_DT_FK~ap!i})+!q%2Mua^r>`r+LCEKW`P1B40OX_pygr^OGbyqpuw6&%U}`FU{Oh9Eo!qpf~WIA1(87YfR}8euy#l; z(*2{fB%Mv?E>HS<-=FO2IB{lM`myr|&jY>#kwk<8)KidtqDwVS44SP<)T9cS;|6}@ ztqWDC-6PeCx>!Xuv&bsa>+5J_t>8`N329sdal+9+-W`<1Fv`op5l~~IB%rBZQ%X9{PcGGEEiYek80K^Q@wL+m| zB;470s%v6@>e-7u6FW`;=|_^0LwHY-Jdr9VIM=Bw7GCZ|$H}fyNE2QnB_bFCnjvyf znCsZLNxn$Zd-8JWA)-|-jC}d!Og{5p##mpCX}1CMnlOWTAu4L~NQ*#zK)jZRgbOK9Vhxru(3));7#zzR@STLJEUH2_SSkqecXx1r$&DuwrH*c|5UMG(URY0#(!hRu zJ!wX_(O(m3 zOtcoT`rqW%!m93llbh0yrI#dGA~GaJ`8x4nQoi%>EQiLez+rPMKnJ;%<;eJbzO>Rj zzw}l_ZC+|F&Gt)6X|#~toc~R>Bmax+{`{xezWmR!JM(K4I%5(5qCq5(T);v{?J-#$7>#$&Eqe=cCh_m{9y0FL1uSLLn>Iq>#PCXa)qEPhEO>zc`%uva-o9C>d4R(BMVfnr0n|6X!oY!#7JLz3DRCF!>xc`w}-bB z_t%N|)Kgyx!HFmxuU5SDqm?vAi7DO6pc+%V9m)Eh`o8*s`l0&dpJktd0F%RghOLT+ z83qX4;sjK!fZ1pk7=y~enxa9CtH`l}yj(=MR?w_fnAFF%jJ1wIIL0;%Zc02Jdwg)m z*pt1_k3BU8GGYpIjtTE#m5z7E$c-GUox=A@^ z@7zAMz2J)NnA%Zr$K2f?PJLLoGIgae({C_xy?}*6un0!2G`;W!6i^wmTSldh3gW!9 z`9f@J%Z2u-J(GJUf{xN$wBYhO)Y&WoLVu8s`Zp)`HKhfV=#ZwWX+2fa`V+@5uH|^j!p$hulE&Tu+%swVrZ~gk_#vfUFTn;!NONNyA&Hs-wB1vk-|#5?zI8 zN4#+MNrqX9ABI`Sh%Bu4MvBAH`p6gQ!D-oQwZ~L30+C$KnaA5S|Q?L z$gDRh6&-%L{p<0To<+k;21^%_>k=0=S)ooel+z*>>V%{LfoQHq6<$YC=4zySHO+;{ z5!;q`tm?40E$+M{?rK|-xU=^wT$PMMvX@trR$US&D)_1fcSp(v`;UqJF+IDI!fQug z`o27TqR%$`+sw<~zn;nE)ZyP{#&f6o{;=ISd?k0~0(1f|)r8fOQmJC^gc{2CYE)T- z7_G!h|JC&EE%=JY(^Ot8&9{$qoapF%D!nrUBn>Iey?`Viok}ii12xiH#7btCqtb?j zWu#hMzrIMjV%gB!?O9{+rIV+=2k(p38y)0xe1IoT&B-kt=rM@0L1O9HORH9}RV1g_ zO4};>leO8+7uKh@p4^aradKX4UdMsSo^)?|`^l%WJ1?-F<{>d!PmT2y(ru98PF*l; z0E+`b<+ff8Jy4_r$@K2D(X%K0SKq7c;AEr))wDo&=zKrR3)M*}qOnM<&DpEta`Kh- z!>PYW9ZB8H?gZB~57~h_a_0beT|uOKek#=v)sef7K{ciy{VAzNY;U$J`^IZ8W*_eS zPNz3H4ZB5D-$?LgH3*hu?F*J-a8F1MCc|=z5}`7X!GXC?SxJAik}5Ke)l@#jr0VR0 zB?-@<0Ej_6khWxtV9Z%K42gG8$a03%kP|Ae*IA@Mk^Dt!TbnZa|0xyEy?LfPm&pAp z<%L=S4i*+E0oCs`T_#nVaCSR`TuD<*1&SI%5Vc6A)2{|>QOw3vs;+)H=|u_^$$dGs zxv-_sTG(`9N8$OxmDElMI8<8Cfm_bS`D~teq5or7J1ybMAVsDgVH;h!_7Q)w<3#5x zU9ZJQx=$ot>3NOyep&A4=;8)TrCgdtFdxk2(9wbp%`&HB5HpzO(5MD48$H6-5?Wbb z#HZGZU^#m9naqjN?`EFOu>Q!w|wQnYJ&`u&9`O@RyMO2^W+$% z#z#ljjUG%dNG#}G(7#}C!SInZ>xB`}%exT;g8zeiw71Tw(;WT{8_jMIak;GRLq#G|2>a=X<2_Ijn6?)R?Tgc~R-_Xv zxK}`4L1k}G?dyCz{Xmw(SylO&x5Bh3DQXxGY|zwZ{>Q~P1?s8VOLJ1>m?S+*l%t9< zWaM;u?fL3hO}n=fY$#e@N`D_+xwA-fXSVXSAn(oW&0BK<6M=z^9`!6X=-NHmH#_2qHwPeDF|jr)SdYjmDXpc1o2R@7O)$avm{ia#oz+rfmTF60 z3z(?1&gd`_#=xmFf1kdT{s$=XYO{`kbNLh>&7DuRHC*i1b03yQ>I#=tzTC0FRV+7! zHlfYERCpR;16&au?z{ zZ3~bgv~z9UrLiimzq>$QuF_Ur-Nne=N0sM*v<>)-l19yZXVJn%CGCKl(jo5n z2;klXC@Ur2f}wjYnTh2uPrX0YInvnOJu$VE5$qIPWqbIFDAQEA7wtucTK6?z^2`mFdW7=X4fgu0HFXI4$Mjd zkjR6hmaE+4^J1|zlhlp$=NqWy*He2l_WrZUAN4n77#wK}d!l%w>cQC_c3L_EQ%mr` z?*|jK8j|0?hH-5z<4OJ~*_)~F@6Y_W8wj1^*GU$xGJ{i!+0U*>P*QEOjx;Q(*TGiJOednaBx^Wymf=N<8#=XXvwWk?huhQxc2+*46nimn2E zL_fGHfcb=X&wwUC$JucS)*XmHZ=R%R!X3`SE-h2%8rv-N#Cll!+i|Rt`;n;@_EtDt1Hq2ms49(t*Nc4XHuJn zA0NDtxtU?Yu^}N6;p#HXUQPTOPpYTm=cvZW_`j}5Z3NMP!fq*Z*m<-1}@9;@J+2?9-`baRDSr)Jdl!Zc?91qdf zy!;S*h;F}{zn1&xT-VUX_K&k4OtAfG)K7u=T&`dXn8JLvlhhK5-l}TvdU@ZgZ=AWF zxt_m1#fGEPfdW1U?r29VY`h&l(Qj*X_<4I2l$F|HI(;(Y3H+;xRu@4kxJEH81>QOL zPX1=@=6Te%-_Gwh6Q~Bdh~g)opG5eGL{v;RQ)!~$cgV*z`@na|x|)Z5bmrr8@%;63 ziTt7D;mMnMUXcX0<1PVkM=2^*_*z1>z!e?UWOG0PmL{4!o_U3orzNU+|IZ-yE0oCYLn<^yfN9 zx^nv_dq#S5hbC{Ho4KBocRnpZ%FoG1+uwZo%~zwzn<+qLzZyZcPCrIXG;KbIpCq5l zC;4U2*69N|OvRM>*zy|-AgtrdFwcHHwtJ$ZZGAj(zGt+rbej@I8}YQkH{yo#P4JV) z6dDUb;hOTfnsRGMr))y=(1jV7CW+}7Zj!+cUBYY_bs z=qv@q2G;2GI~rIMDG$^C-aH9Ca&k-IofJGNBU=kq-Om)hTX?pxt-#43qN)ZCid#D` z#z;U^8;E$Zvw_Who`iRZ{hVsY)aBIq=Ey_w?pJzGd@}yYg(I0G<3}!VircZMWyxTN zw-oEOpi8bw2m`5-^P5|jb#lUXYO+nJE5X9<71DZ!y=y%gtHt%MG5dP90)7l&!4A*l z#EufqK;D2jA9VEiK&SGf9`ms8kpE!AfhM|dEq!GzJ@D0YFOPkW7jc2p?=oFJmnk-i zaD9rVeUHz!*R<`OceJ4d6gXMBpGrLi07zgiDhDeoGZ9RU9Cx6WBG?JTKNCxA?@Nk6 z!|r)UnR(R1YfE*t{Wkmc^Sy0#uZWd$Rw%_s9(R#naLcd}YVP<0LIWk4&LKY?$6l=%KI=T|>hB!d{3Y zB+4GYvb#Y^&Jz~k&ga&WFa{k2j-}j|%$8hhrZu-M^IYb+iS3zJa@$Knh_-@IU;v|u zJWVf9q2Jaz(t6^Z+>VhQC)j>Pu%h#YIl>v?G}X*e0(QRxtx%2&$4G9J=ZcLorxQdX z$-pg1P2As6RoYr$G5oKxf1N@;Cba Hvw!~|zd8=w literal 0 HcmV?d00001 diff --git a/Sega - SG1000/rtl/roms/Space Invaders (16).bin b/Sega - SG1000/rtl/roms/Space Invaders (16).bin new file mode 100644 index 0000000000000000000000000000000000000000..72614881a8827ed784d4bf531bbc7eebc9d592f8 GIT binary patch literal 16384 zcmeHueS8$vx$w-+?Cd7l?CxaqF$tSwhUH@rxokGsu&l`v5D8ipFIu?O*6{ZCUTk~4 zK&>S~!e;qK+ag-q;{ADBpuN`Wy*JouqxKd~5|i$*3_^JWXdKwIZ}O@LCXFGHBs1@G z&SV1E-k@rwJy?$Xu`*)~6v$U_Y{}~)Z(S=C&M*Pj8 zg(%9R)Y=#&yP`Lv7O2_iA~ZL&2n}4gWl-%drwvA;5Kp5@>cT;Fv0XjQsy|}YK2{Cb z)p>U5cMH`Hc2GUz7*y{qkXS@hRC9=G8%^z2&)T9M)ECr);+-y$W!rvJkUDk+D8HTB zojR4eIH_;5sRYRP|MNxY%ww9MQTy@C?Noh{N+Kg zy*G8I=v0p|Jck4h*I7tiSlp9ZA$mZfDNcQYX@89Z!pzia@!izf)G@)Cx?en(>Pzh& zY##-Ex1ynF8yZrdV+PFe41{h)?NJ&O0K7&1UTTG$AFYL!w>eacKoko?+EcrQ!`M9e z*Rkh9B2w>Qq1VZVn6}tX&# zp&rY`9+UIb2ie#bAc)a0m{?P&0JZxGo1CG}aH-*J^^I(G#G`)Br+zP6{kBW}8?QP) zS7r0mr}FV|K_(t++Y%b{Edi02V^nAfQY4DfdbJ+>nceuZIH;)08L1udOA)v6&Z6^Q z&p99Pc`r$n59XaiYRtE+Xb3`W;N+nVIe@uHM<~gIcrOV|sU|`rn>x!5VPwV*=!Q^g zUrkF(q9v=;FEP^UD93hi3`qLb>kl*jfOjYm2()_NS9-fT{$U0+Yt}5D@)mMVP||$z z(9nSQa_fm61-#iugA3`8XvvNsGVnSOvgw!ply+?G0a5)YwtW{n3h{-*tJw?!n#q#R zN7Qmwa?Q{8a~YuJ5v(QGJAx-{r93^gOt$me{Q|?U_tT6^`rLd>{os6{=VC-nC_d`7Q>J+;~Imy#Yo+5u>x>^E2*=hu> zhQJ?~18zA`OjAzbdlD6)DW(Lg+<5X3mN^0fZ=w@aNg80nd$A`^o`c1f>TEuFw)<>L zGPOe)9O~`p(t5{x--OyZ)O}c5h~m08NLK{nEwUZ*oZy3nr2eK*z3d)TXJo5K{puq@ z2>K;xzDE(sFusB<*I6Vezm-~T3%Ky z-&-xOtQR!(ZU@ac)!Q7>_K2{Y^c=YNFA-re>2bK%5fLiYItOjDtG76$-iRQR9*cYS z`9cw)WHBZ5T%^a~-t_r=4K?*PH1&2=IQ35W+y$TI@VN&`-$GN@AU=yKoVpGG55ebQ z_^d}#9C2J_R=_)2IWJJ@4OAL|N_*@koF(Z{A%fIW1dgIZ8&E@N6WS1Z1QN~EApb2y z&x3VqwcYdLn{g8PNV(x2bm6}BD7qE3y#A8ohUL(E7)7_3y}O|I5Q=U#dv`)_9f~H* z-tEv^gQ88SCCOz$*tu;*x+pvGGOfxMM>=RKlZ1O9;A{1sQzRzBe<8>fO{^2|Wob@C z9dSt0$g?s+2RT4(IC%)?L+^-!1{KqoM>}9I=alg#?IRjtj|oOKJJG#;tK@4KTxD5 z1a5cRxvWYGw9plhy#m={zXEd`eH7K@Q-#quS{B`jYGIyx<1J!oq4%SnKrc*jSb7vT zxD7BEyYvXEsOZTS&kA%8=G=wqVwvCl&$wrs+`CL}@sGH7BAu3`8!IFBJF^TVI;$-S3Q`~6NVH9ep0}3oDFBrm`L`F5lEd4 zDBhB+<>RQs=N)e?YC%)N5NXlvs2W8ZPzwq*B0M(n3(`gupW8>*i&;EPsV$jqy?twD z^btUP4L0U#QHX9rx^d(unc#Dmv<1bN1>&1q+Fr;c0S;ooJ}{W83O$B|m0|U8rutH* z+a*+6g5A1UM&#wff~cBg$R!Ljc*6jt;NY;^CS+LbAA*;;d5{%to9hGKVFg42g#I=L z(O(ZhY=U;sXO9`M!kR-H5o|m++y<-jHl)Ce*2S{EyR*c;l`OsOD2#|dL?&jhua>`F zE#Ffu&#RV;!Zs~BYoZ(B`4uuZ3%ht9Y^S~F z`An+td=D%fymDLQsY7IDvS1>0Cc?&!3vigkGe4lRuHJy^arI1@J==R?RN=CKJa>?s zSpa8J^tA#i}s<>@d7E!wRv{87%j4u-%I3REbA z(y9n2f@>yDOt3p{L%Q=!Y&#qo*T;mAA8C>wMSU=%yp#)vU71PkRw}eGE$1m$11jj4 zp&g=8YzOAlDrrNjq|(h=y)Bf3Lb*uc{YZMyMT;bjQ#V1EC{~ZL%D6NQjmIfHZNALN z9`fE%n}j!yj8GLsrGSJFqE+aXWCL_#oAG&9LgV%HcqR4Rc%{t`sjW&EL|ZH)wA36h z0ubz#baTjuCgj`n3fW84Bz3RMonpu9DP=rvJLMPcAf!TxR>K;rh8L?d%}{~tG;qo& zUsNw-k4rbA{AtiI{WC5FQT~ml%~Brh!#J!u_tXx&a;B03+oXNARIN-`cRKn;JRVP1 zU4EpH`!u!FAt_yW~R)js3C5%+ny0fGekPl?mYZDv+nBqa<{klMW z<$2)iV<7Qyx=rQ;Zg#a`3sjvTphEz9ZK$!&@jxmsm1}(lbVkl0`PUKCx4TD$f=zB+ zuppPWyAQ+iAKUK!p!N}6Y>>I}L*pW?fJ0L({@2*)90DT)`qRPE4ps2A>Z>C8dP6X$ z1NNkw_*OV$&-LwBvwhyn1D#HAItQ7dFyCrph^gP_nLD4vVVQtZgw>nV^?gb%Iu5F|?^C+-xE80!AtW{6CKG&BA10oHk&*Glxb15j`W&(ge3_@S za!Kqx!5_P<_Ih|HRTw)gcw)`CGh!6RbjTMk48ic14eTGn>l)r?hVY>h-pXL9z;eT{ z{K0$h1Sm`wF+9nEinm8=t|%x!IqY!zUqwL@LPBjyNL&Tj&yme z<+X5-tCnxAmT#(-=Tysinsi5wdIx{xOj==` z6;1k|q{-z#^Q)wpjhkyoGdD-g;(_ZMCRaTH&dgDZF|g4DCI~Q^quz*t+cB^~Uy#(- zCiNdB^*566CKgZ{^jK0~lhl8h)Q=^F_Z##ZlKQ<#{jsF}T9W_JCg$sFzNCIUDg4LU$#=Na-zIsMDpa%;l=>v!lGFm=aP3(NB2IgjCQloAGVqMi zErjsbgiz?hOfWqMUQLpyN#VfTBifpkUVX2~b@9(ZKAmk+h)hqjLPYeC_~`B6*a?gS zUN2h#+e$Dom^A6p9CbaPG~K@2bjy<_=xV3`Ag6sjOvp24wco6sHR-N)^9v@3IQgI{ z?IjaLT>L5qUG5+0A8A_9wBWsg^uRk!Mw9VgYq~Z4LdVvQAHU$(>e$*`;3;_A&<(x0 z)Klsi)5rA7VlV~47`J#w`_ujDVa)eI>(G!x&dOx3t-j<#++(=mecWX_Urh zVwG5^gK;p}7<2PHc_<(hEbAK~^E)1=YbTN-&WTqYXYne!a=0BDP$qYUiN(=yM&EPX z?ZA0;He8U@@=xs1H_H+IJ934dkUjdh<*Zb#=xx}q(@EhU8}`dz)tTVmEBXdl*xO}i z!~P`f_@7sB%|`AZH50i-;lKN&zD1s{@0KNft2{%0T%HOlX6PG%ZKuiRND9TkCI}!j zS9i!>!t6LMH~_%t+!>q*$ZY_;(84(I9zn~Qv8}LCk-S7&>qCplF1I(>7Yag)4wa$U zjiHda(~xZzZ`k5Y9I*l>IOV8?T(Rd2G&>#I5@^M?;oTMXw$LpIws&v?2ieUju<&$M z%UN(Ork9!mVP^+svi;OGuPlt-g7gA;j_#7D=-F}!?0`O<2e0|$96eul@WC=SEBN@Z z=w<{LA1-s-1v?)scXQW{EfzFKi0`Omr}@etaPa;BuMXr^uH6B9rHs_VJiHH(Du~*D zo(CJPUXjE@OXK;}SG~0gwv^wl$~TjpPRV{qzc=cfoT*8_&QZ7UaycxzR?-SWtAn)W zm>EbhSBy$vv(5ZmI$#D}$k^&ibW`P%u#N?W9ae;Zw z2W%Vzi^=c3OmkF5tM`I3nE#aY(+Fo*Un`J)Gf}@2;XJtSoNv~$S@VAoq-W=Ix$3_a zNFU7SY`C5gHTwsm@DdOGwkTJOLwoXyYckH^Mo$@3|D%wrA&ZHSFrnEc_L|JG8}U4W zuryF$T@*CfG!BCnxK~v>+J)T^ZtA*={Xc^kimdzwLcnBhd7VH(H!r?r$)eqli}#4j?-oD5 zV&&g`;of+Y=o8btnDKUwH~Xy}JH@{d3yQ><(wyA$j%o?6i&X_U5mkY2$A}?ULN1fd~ z;EIN6!*-5^HUl{NG<%NjmVs{CaK>r>FlS_r`iv=~8Qsh%Z_FFEowIl60%gH413WHh zd5LU$w;OnJhFP%A11%rmneNpf-!-8-Z`1+yaHr{E*~lAa4Es6u^sM2`(*dw?CfHI2 zv^ij#{hY_B9?j~`8qGFj7z?&12PEohlP2ft>5C+P9%-~o)KyNifl;JYyx`Mgn=k$FxwBEvT5+sO!WC)ys+lQV3GImL74 z290*$_7SM_v=gl50Lq=tG)VSL@?ZDEzR2(izm!2P{ykQH;p`o7SxAzn%t)1ZS#sRO zrPF2gD}Kp|cni--*@&-`G@GDxOHJ7&V&Y0+-$sdy<$q^KZ8-9=FpP2ELP6hl#Y6zuA71ya!r z%)~fiPc#Fz25AP#PGzY3kRCo0%D{U?mH9nM>|1a`QQ%-u)RM%US4I+bW6sDmobEs3 zgfA;O=BHgnKZNVu%O}o2_dLzuG-^Zq9B@hVdGMSw6$vt|;4Ff7Ymd6CxClN|yaP@& z8-M%bY!)*6JRQrNFSg1KC)tY>=MZ*XU_fbtG5$p*O^Qy9_4W0QrPCBtP#STq!-tAA zM4&WMI<6uSD$;ndQ}67=^!ShJ?7MXK81x%EkDco4Y@|%G2t|#J;lD||rN@%vuku5Q z0bggF1ARK@60m$r4#3@2>vXEIFMSc)W9jX@sOzUdpPo*Gx%%YT8?o6y*V);5u`%ME z#FKHo--q?!KLY2VCU6+<2;m#SdK%rw8gZS*ax8nXP%>_FV3Xs5ZsW0I$0qH)YCNXh zotz)*^H+WGnP+tWq+XB@s;?feX%`qr{7|*%pI?0OpBGid_4F8QlVfomjg28a4)xiy zoqAjls;btkK6lPhy5Jv;O%ZQ;WbBv5A-~h|i)F7h9?RdBzbt=Pbk;n9em|aGfSdDf zjhBp=`Xm1|0wErUCC`%II5BQ(9xeHV4*YHLnc^dp@nP9%*=^0YH9yw8TJa`6w@zI5 zoJe^}7c?1HmHv@29d|z~IF}b@pE!KcXcB7@;=XhmC2DN6!|m~8(oXLftc1*ioTs#MK}P;8ib^0v zC2An$F$`^^SM`rPIQA9RN#xI89VGJ6Dn#VN_qjy=-Uk_xZ@Oq0`=%r)1R=kVvSnns zJq{b|%(;9~A9J!qe!d7v733#s5=1^l+lc%Hx56gmfK285^r|b7bWn8ymp@)Tu+3fdVZE?Z(I$ zf$ESDbSRBDA?SFbDSr%?Llm`c1Q%)oKzT@9=oG>cp~t8u!x)1?!GdXwmAG+V$9<4S z;bP%|I#yCrLSc4{o9H)A6l0p^M!`_6v|_+66UO(HMtskbnlNHnKQS-?=j3W%a;71^2}1+>fh$P z+cC$nb+W&l-us4dw6Mm)Po5Jkz{Gjc>QXt6)@;sOK+c^6wr48ame_M@5}&a=ar4!9 z@hRK3tMIg0CdohEU_ORVe2jo*;2%9Xe848)-<3j}1XlppqA42twHy|8f35iNA^; z&dTH-!;<&$`r7mK$FVThE5&+6y0&iklZD)PpE`X;yzO(h-*M-%yZ%;utNU&3?74K0 z(f&xVy}Mj}DyT{JHu#OSmZIXX|Ei1^D6O#7+pk2awp7!RBjq}U9|k-m6vW?6yo#jO zU`9qfuzKygo40J;wtdIWZ=DFf6&wg=m6eu7%IeCR%B1oNzPPRZ`(+@zl8XK4WRqlT zOLa>sZt;pH|Kad(2~iBLvd`(;6U zTX|w1LVFG#@7L-*y;|IpS}=rV55#*SgsDaD)4ify3wwk#{In)ZtMFXv*LGxAMd)VJ zAn=JpTj4D7wOlSKZ)eN9ieOMS7}N>vn|AGC4u0MRc6-5R4(%Z)^cbz4RkZq?BA-^z zWB zm7+`OAsFevrLiioGe!MUfyX5Ua4zLMd5mq2b@C0qCQ-%09!2ok& zkphKb-^VbnykcI(55l&pirXp@5~NL<`nw8Bpy=#Gfb_mddLC+a?&d8Hiq74<8p!Fd zRwM$DLTReLf)Oaz1E}d!c5TL}ZI+xTF^chQr)sDXZmW%oPz~JXpJ0-oRwP6s{AEl+ zWpAfOsC^8zk2=Ue>n;Yq2srNew5>!Ip-f~Du7uOFjQNkM<>+*+VnGp&5hT_NDMTO!kfN zgxfY_GTIIQwSY?4sQ+!F9np#bR?9Kbb&xa3&UkV=&!?T+IDvQ)o+&B z)K}NTe{3*}ix)3yns)T)(O*6P{L@eGs;^)D`Ohydp9)kzz1wCWOme+k_rP@zT=&3r W4_x=abq`$kz;zE?_rM={;Qs=ZGZnZ1 literal 0 HcmV?d00001 diff --git a/Sega - SG1000/rtl/roms/Space Invaders (16).hex b/Sega - SG1000/rtl/roms/Space Invaders (16).hex new file mode 100644 index 00000000..6b0f80a1 --- /dev/null +++ b/Sega - SG1000/rtl/roms/Space Invaders (16).hex @@ -0,0 +1,1025 @@ +:10000000F33100C4ED56C38D000000000000000075 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:100030000000000000000000C357050000000000A1 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:10006000000000000000F53A41C0FE10381CAF321D +:1000700041C03A04C0CB6F3E00280C3A43C0B73EA3 +:100080000028043A42C02F3242C0F1ED45F3CDDBE7 +:100090003301FF032100C01101C03600EDB0CD4493 +:1000A00005CDD704CD9F04CDE604CD2305CD37057E +:1000B00021E241CDC504F3CDD807F3CD5A17210471 +:1000C000C0010000AF3200C2FBCB8ECDDE023A0F82 +:1000D000C0E630FE30204E0C200404C2C717CBCE41 +:1000E000CDDE023A0FC0E630FE3028DCCB8ECBD618 +:1000F000CBEEF3CDB503CDEF07CDF91B3E0332C2F6 +:10010000C0CDFE16CD6B06CD3917CD70072148C086 +:1001100011C3C0017B00EDB021A220113EC101C07E +:1001200000EDB01841F3CBEECDEF07F3CDB503CD25 +:10013000F91B3E0332C2C0CDFE16F3CD3917CD6B8D +:1001400006CD70071820FBAF3243C03200C2CDDEAF +:1001500002F33A04C0CB6F283F3A8AC0B7201A3A5C +:1001600084C0B7CCEA023E203210C0CD1A0DFBCDC0 +:10017000DE02F33A8AC0B72862F32104C0E5CB4E11 +:100180002008CDCA031106C01806CDCA03110BC042 +:10019000CD411DE1CB56200FFBAF3200C2CDDE02B8 +:1001A000F3CD9103C3BA00CB4E200ACB6620E9CB36 +:1001B000DECBCE1808CB5E20DFCBE6CB8EF3C3FBC5 +:1001C00002F33A49C0F53AC2C0F5CDA803F132C2F4 +:1001D000C0CDFE16F13249C0C33A012104C03AC273 +:1001E000C0B72895CB5628163A47C0B72810AF326B +:1001F00047C0CB4E2004CBCE18C3CB8E18BF3A8459 +:10020000C0B728BD3EA83220C0CD4D043A84C0C638 +:10021000043203C23E873200C23243C0FBCDDE024D +:100220003A42C0B720F62116C0343A04C0CB6F2042 +:1002300025F3CDA305FB3A0FC0CB67CA9801CB6F5E +:10024000CA98013A04C0CB4F2007CBCF3204C01864 +:10025000E0CB8F3204C0CD0808CDCA08CDE308CD6D +:100260009A093A17C0B720503A86C0B7F2A6023AA8 +:1002700084C0B7200D3200C23A30C0FEE0CA460149 +:100280001833CDC309CDDA09CDCE0A3A8AC0B728D2 +:1002900015CD6C043E823200C23E0132C2C03E7FA8 +:1002A0003217C0C31C023A84C0B72809CD260CCD32 +:1002B000350DCDCF0DCDF80FCD4B13CD950DCD8C8C +:1002C0000CCD2E10CD3914CD0415CD94163AC2C0E4 +:1002D000B7CA46013A47C0B7C24601C31C023A46F4 +:1002E000C0B728FA3E003246C0C9210000DDE5DD76 +:1002F000E1DDE5DDE12B7CB520F3C9CD520321C35F +:10030000C01148C0067B4E1AEB7112EB231310F696 +:10031000210013113EC106C0CD3E134F1AF5CDC5C5 +:1003200004F1D3BE7912231310EE013800210FC05F +:100330001110C03600EDB021403801C002CD2905B2 +:10034000CDF91BCD3917CD70073AC2C0CDFE16C30B +:10035000660121463A1E60CD5D0321663A0604C55A +:100360000603CD3E13BBC474031C2310F5232323C3 +:10037000C110ECC9E5D5C56B26002929291100104B +:10038000190608CDC504AFD3BEF5F110FAC1D1E10D +:10039000C93A04C0E6013204C001F9012105C011C7 +:1003A00006C03600EDB0180D01B400210FC01110C9 +:1003B000C03600EDB021403801C002CD2905210032 +:1003C0001311A220CD9A04C38804FBCD1A0DCDDEF3 +:1003D00002F3AF32C2C0CDFE1601030B21EB38CDC4 +:1003E0003304210C39161C0609CDC5047AD3BEF599 +:1003F000F11410F83A04C0CB572825CB4F2805112B +:10040000851C180311761C01030C214B39CD3304D4 +:10041000216C39CDC504060A1AD3BEF5F11310F8C4 +:10042000CDEA02CDEA02CDEA02CDEA02CDB503CD96 +:100430008804C9C5CD4204C10DC8D511200019D109 +:1004400018F1CDC504AFD3BEF5F110FAC9211817C4 +:1004500011BA2401E800CDB80421C23A16E3061D02 +:10046000CDC5047AD3BEF5F11410F8C921C02B16FE +:100470008006C0CD7B0421C03306C0F3CDC5047A0D +:10048000D3BEF5F110F9FBC921C02B11622901C0BF +:1004900000CDB80421C03311622901C00018191120 +:1004A000B0040180081AD3BF79D3BF130C10F6C96A +:1004B00002820EFF03760300CDC5041AD3BE130BD0 +:1004C00078B120F7C97DD3BF7CC640D3BFC91AD34A +:1004D000BEF5F11310F8C90602C501FFFF0B78B194 +:1004E00020FBC110F4C9210000CD1B05210008CD5F +:1004F0001B05210010CD1B0521002011A225CD1EBA +:100500000521002811A225CD1E0521003011A225AC +:10051000CD1E0521001811A22D180311A21D0100E6 +:100520000818952100380100031E00CDC5047BD3B7 +:10053000BE0B78B120F8C921003B0180001EE0CD40 +:10054000C50418EA3E92D3DF2104C0CBC6AFD3DE88 +:10055000DBDEB7C8CB86C9F3F5E5C5D5DDE5FDE53E +:10056000D9E5C5D508F5DBBF2141C07EFE103001BD +:1005700034237EB72805CDDB331813CDA305CDC7B3 +:1005800019CDFA0EF3CD260DCDCC1CCD7A303E011F +:100590003246C0F108D1C1E1D9FDE1DDE1D1C1E1CF +:1005A000F1FBC93A04C00F381D0F3808DBDCF6C078 +:1005B000320FC0C9DBDCE6C047DBDDE63F80070762 +:1005C000F6C0320FC0C90F38533E07D3DEDBDCF66E +:1005D000C0320FC04F3E04D3DEDBDCCB6F2002CB3A +:1005E000893E05D3DEDBDCCB6F2002CB913E06D308 +:1005F000DEDBDCCB6F2002CB99CB772002CB813EB8 +:1006000002D3DEDBDCCB672002CBA13E03D3DEDBF3 +:10061000DCCB672002CBA979320FC0C93E07D3DEFD +:10062000CDB4054F3E01D3DEDBDCCB572002CB91AE +:10063000CB5F2002CB893E02D3DEDBDCCB4F200236 +:10064000CB813E03D3DEDBDCCB572002CB993E05CA +:10065000D3DEDBDDCB5F2002CBA93E06D3DEDBDDC4 +:10066000CB572002CBA179320FC0C92149C0347EBB +:100670003D281B3D28263D28313D283C3D28393D5D +:1006800028443D284F3D285A3D28577718DDCD5343 +:1006900007010308CD4A072185381852CD530701B9 +:1006A0000307CD4A0721A5381844CD530701030697 +:1006B000CD4A0721C5381836CD5F07010205CD4563 +:1006C0000721E5381828CD5F07010204CD45072131 +:1006D0000539181ACD5307010304CD4A07210539FE +:1006E000180CCD5307010303CD4A072125391140CA +:1006F00000224AC0224CC019224EC0192250C019F3 +:100700002252C0192254C0218BC0226EC0110B008E +:10071000192270C0192272C0192274C0192276C021 +:10072000217EC00606360B2310FB363723361023F6 +:1007300036FF2336053E3B3203C23E013219C03E2E +:1007400000321AC0C93E013279C078327DC0793298 +:100750007AC0C9212230118BC0013700EDB0C92108 +:100760002230118BC0010E377E8112231310F9C97C +:100770002A54C0ED5B76C03A83C0B7C4B4072A528E +:10078000C0ED5B74C03A82C0B7C4B4072A50C0ED54 +:100790005B72C03A81C0B7C4B4072A4EC0ED5B702B +:1007A000C03A80C0B7C4B4072A4CC0ED5B6EC03AF3 +:1007B0007FC0B7C8060BCDC5040E021AFE2028085C +:1007C000D3BEF5F13C0D20F8C501000A0B78B1202D +:1007D000FB232313C110DFC9210338115930061A36 +:1007E000CDC5041AD3BE1310FA212D38CDF8072138 +:1007F0002438CDF807213638013006CDC50479D329 +:10080000BEDDE5DDE110F8C93A7FC0B7280C2A4CFF +:10081000C0ED5B6EC00E02CD5E083A80C0B7280CFA +:100820002A4EC0ED5B70C00E01CD5E083A81C0B7A4 +:10083000280C2A50C0ED5B72C00E01CD5E083A82D2 +:10084000C0B7280C2A52C0ED5B74C00E00CD5E0804 +:100850003A83C0B7C82A54C0ED5B76C00E00060BC1 +:10086000F37DD3BF7CD3BFF5F1DBBEFBFE903854E4 +:100870001AB72850AF12E52A6CC07CB52805C5CD43 +:10088000B309C1E1226CC03E0A3286C0E52184C0B2 +:10089000352103C2353A49C03D20123A48C03D20B7 +:1008A0000C79FE02200778FE0620020E07C5D53A15 +:1008B00004C0CB4F20051105C01803110AC0CD8F0D +:1008C0001CD1C1E11323231097C9217FC0118BC014 +:1008D0000E05060B36001AB72801341310F8230D45 +:1008E00020F0C9218BC03A89C05F1600193A7EC03A +:1008F0004F3A87C047110B00E57EB7201F1910F94A +:10090000E123E5217EC0352189C0343A79C0B7287A +:1009100005217AC03434E10D20DE1801E1218BC0BD +:100920003A88C05F3E0A935F1600193A7EC04F3A7C +:1009300087C047110B00E57EB7201F1910F9E12B86 +:10094000E5217EC0352188C0343A79C0B720052121 +:100950007AC03434E10D20DE1801E1218BC03A87E2 +:10096000C0FE0128084705110B001910FD3A89C087 +:100970005F1600193A7EC0473A87C04F11F5FFE570 +:100980007EB720142310F9E119E52187C035217DB8 +:10099000C03434E10D20E8C9E1C92186C07EFEFFE4 +:1009A000C835C0E5CDB009E135210000226CC0C9D1 +:1009B0002A6CC00602F3CDC5043E20D3BEF5F1106B +:1009C000F8FBC92178C07EB7C847117EC0C5131097 +:1009D000FDC11AB7C01B3510F9C93A85C03DC03AF0 +:1009E00078C0B7C0327CC03A79C0B72059217BC0EB +:1009F0007E2FE60177200B110100CDB70A0EFEC352 +:100A0000830A3A7AC0B7206A3A84C03D20183A7DFA +:100A1000C0FEFFCAA40AFE02200C2182C07E4F2322 +:100A20007E81B7C2AB0A3E013279C0327CC0217DE3 +:100A3000C07EB720063C328AC0185735CDBF0ACDDC +:100A4000B40A0E0C183D217BC07E2FE601770EFE06 +:100A500020313A7AC0B720143279C03C327CC021B0 +:100A60007DC07EB720143C328AC0182611FFFFCD0E +:100A7000B70A217AC0350E02180935CDBF0ACDB4A8 +:100A80000A0EF4218BC006377EB728028177231027 +:100A9000F7C9CDB40A218BC0018C377EB72801710C +:100AA0002310F8C93E01328AC018053EFF327DC0CE +:100AB000AF327BC01120002A4AC019224AC0C93A6D +:100AC0007EC05F3E0B93871E0583327AC0C92185A5 +:100AD000C035C02178C07EB720033E05773D287120 +:100AE0003D28543D28373D281A353A83C0B728138E +:100AF000CD660B2A4AC0110001192254C0ED5B7665 +:100B0000C01874353A82C0B72813CD660B2A4AC084 +:100B100011C000192252C0ED5B74C0185A353A81D9 +:100B2000C0B72813CD660B2A4AC011800019225085 +:100B3000C0ED5B72C01840353A80C0B72813CD664F +:100B40000B2A4AC011400019224EC0ED5B70C0183C +:100B500026353A7FC0B7C8CD660B2A4AC0224CC0A2 +:100B6000ED5B6EC018112185C087773A84C03DC007 +:100B70003A79C03DC835C93A7CC0B7206E3A7BC0CF +:100B8000B720683A79C0B72041D511130019D1E5D3 +:100B9000EB110A0019EBE1060BF3CDC5041AB728D7 +:100BA00022F53A84C03D200B3A78C0FE0338043E5B +:100BB000D018023E20D3BEF5F1F1CD040CE523CDD3 +:100BC0000F0CE11B2B2B10D1FBC9060B1AB72814F5 +:100BD000F3F5CDC504F1CD040C3E20D3BEF5F1E50F +:100BE000CD0F0CE113232310E3FBC9060BF3CDC596 +:100BF000041AB72808CD040CE5CD0F0CE11323230C +:100C000010EBFBC90E02D3BEF5F13C0D20F8C9D59F +:100C100011E0FF19D1F3CDC5043E200E02D3BEF57D +:100C2000F10D20F9FBC921B7C01156C00E0B060506 +:100C3000E57EB7281BE5D5114AC0131310FC1A6FC7 +:100C4000131A673E0B91280547232310FCD1180C7B +:100C5000D511F5FF19D110D9E5210000D57D12136A +:100C60007C12D1E11313E1230D20C3C9E0FF000D75 +:100C7000E0201007E0001C0FE000200FE000240F30 +:100C8000E000280FE0FF3807E0FF480F3A10C0FEF1 +:100C900020C03A1DC0FEFF20392112C07EFE05286B +:100CA000202BE57EFE30CCAF0CE134C02334C9F3F9 +:100CB0002160380620CDC504AFD3BEF5F110FAFB94 +:100CC000C93A84C0FE08D83E853200C2763E18324A +:100CD0001CC0DD211CC03A13C0B728112114C0CBA1 +:100CE0004E2803CB8EC934DD35012815C92114C027 +:100CF000CB4E2803CB8EC934DD34013EFFDDBE016F +:100D0000C03E863200C276DD3601FFDD3600E0AF40 +:100D1000DD77023212C03214C0C9111CC0216C0C24 +:100D2000012000EDB0C921003B111CC00620CDC53B +:100D300004CDCE04C9FD2124C0FD7E00FEE0C03AF2 +:100D400004C0CB6F280F3A0FC0E63047C8FE3020F2 +:100D500009323CC0C93A18C018EF3A3CC0B8C8784C +:100D6000323CC03E813200C23A21C0FD7701FD36DF +:100D700000A8FD36021C3A48C03CFE1820023E097D +:100D80003248C03A1DC0FEFFC03A48C0CB572001D0 +:100D9000AF3213C0C9FD2124C0FD7E02FE342810ED +:100DA000FD7E00FEE0C8FE102806D604FD7700C9CF +:100DB000FD7E02D61C2005FD360234C93A3DC03CFA +:100DC000323DC0FE10D8AF323DC0FD3600E0C9FD57 +:100DD0002128C01620CDED0DFD212CC01624CDED0F +:100DE0000D3A84C0FE08D8FD2130C0162CFD7E00CF +:100DF000FEE0C02115C0347EF53A84C0C69047F1AC +:100E0000B8D836003A21C021A002CB4728013DC600 +:100E100007E6F80F0F0FD55F1638190611CD2F13FF +:100E2000FE783804FE90384211E0FF1910EFD1D55A +:100E3000ED5FFE0B3804D60B18F83C470E0B21561D +:100E4000C07EB728041002180723230D20F318ECE6 +:100E50005F237E57EBCD2F13E603FE012806FE022B +:100E6000280618062B3D1802233CD1E603FE012874 +:100E7000BEFE0228BAF57CE60767CB15CB14CB156E +:100E8000CB14CB15CB14F1FE0328123A79C0B72846 +:100E9000073EFE850EFB18177D0EFE18123A79C02C +:100EA000B728073EFA850EBF18053EFC850EEF47B2 +:100EB0007AFE20782806FDBEFD2001C9FD7701CB12 +:100EC00004CB04CB047CC608F5FE903823FE993091 +:100ED0001FC5CD1813C1FE603816FE783012C50E3E +:100EE00000CD3B11C10608CD2F13A1CD0D1310FA73 +:100EF000F1C608FD7700FD7202C9FD2128C0162049 +:100F0000CD120FFD212CC01624CD120FFD2130C0B3 +:100F1000162CFD7E02FE342843FD7E00FEE0C8FE56 +:100F2000B230335F3E24BA7B20033C18093A16C026 +:100F3000CB477B28013C3CFD77007AFE202808FD4A +:100F4000CB00562802C604FD7702DD2124C0DD7ED9 +:100F500000FEA83829C9FD360234184D7AFE202833 +:100F600009FE24280A2140C01808213EC018032188 +:100F70003FC0347EFE10D8AF77FD3600E0C9FD9645 +:100F800000281AD0FD7E00DD9600FE08D0DD7E012F +:100F9000FDBE01C0DD360234FD360234C9DD7E01FE +:100FA000FDBE01C0DD360234C9FD7E00CD18130E32 +:100FB00000CD3B113E06856F78E607280CFE02281F +:100FC00015FE04281E3EEA181C3EFACDE50F110856 +:100FD00000193EBF180F3EAFCDE50F11F8FF193EC7 +:100FE000FE18023EABF5CD2F134FF1A1F5F3CDC5A1 +:100FF00004F1D3BEF5F1FBC93A17C0B7C0DD21201B +:10100000C03A04C0CB6F20053A18C018033A0FC08D +:10101000E60CC8CB57280DCB5FC0DD7E01FED8C8DB +:10102000DD3401C9DD7E01FE20C8DD3501C9FD21A9 +:1010300024C0FD7E00FEE0C8CD1813B7C8FE60D8FE +:10104000FE78D24B13CD3B1178E607CAD5103D2868 +:101050006F3D28573D28493D283B3D282D3D28150B +:10106000DD214D12CD4D11CAD911CDEA10C8DD21B7 +:101070005212C35711DD215612CD4D11CAEC11CDBC +:10108000FC10C8DD215B12C35711DD215F12CD4D6D +:1010900011CAFF11C9DD216412CD4D11CA0612C952 +:1010A000DD216912CD4D11CA0D12C9DD216E12CD9F +:1010B0004D11CA1412CD0B11C8DD217312C3571183 +:1010C000DD217712CD4D11CA2712CD1D11C8DD21AA +:1010D0007C12C35711DD218012CD4D11CA3A12CDB9 +:1010E0002C11C8DD218512C3571178FE3FC8FE6F51 +:1010F000C8FE9FC8FECFC823232323C978FE3EC85D +:10110000FE6EC8FE9EC8FECEC818EC78FE2AC8FE49 +:101110005AC8FE8AC8FEBAC811F4FF19C978FE2958 +:10112000C8FE59C8FE89C8FEB9C818EC78FE40C888 +:10113000FE70C8FEA0C8FED0C818BC6F26002929C2 +:101140002911001019CB51C811040019C9DD56002E +:10115000CD9211B7C8DD23CD2F13DDA600CD0D1321 +:10116000DDA601CD0D13DDA602CD0D13DDA603CD49 +:101170000D133E01B7C9DD5600CDBD11B7C8DD2343 +:1011800018D5DD5600CD2F134FA2B9C8FD36023455 +:1011900018CBFD7E00FE902002AFC9E5FE98200628 +:1011A000D511A8FF19D12BCD2F134FA2B92003E1E0 +:1011B000AFC9CD0D133E01E1FD360234C9E5C506C8 +:1011C00004CD2F134FA2B920072310F5C1E1AFC9F9 +:1011D000C1E1FD3602343E01C9DD218912CD76110F +:1011E000C8CDEA10C8DD218E12C35711DD2192123D +:1011F000CD7611C8CDFC10C8DD215B12C35711DDBF +:10120000219712C37611DD219C12C37611DD21A135 +:1012100012C37611DD21A612CD7611C8CD0B11C8EF +:10122000DD217312C35711DD21AB12CD7611C8CD6C +:101230001D11C8DD21B012C35711DD21B412CD76C6 +:1012400011C8CD2C11C8DD21B912C35711FAF0F81D +:10125000F0F87F3F7F3FEAF1E0F1E87FFF7FFFEAB0 +:10126000C1E0C1E0ABC583C5A3AB07830783AF175C +:101270000F178FFFFEFFFEAF1F0F1F0FFCFEFCFEC0 +:10128000FAFCF8FCFA5F3F5F3FFDF0F8F0F87F3FB3 +:101290007F3FFBF0E0F0E0F7C1E0C1E0EFC183C1C8 +:1012A00083DF07830783BF070F070F7F1F0F1F0F02 +:1012B000FCFEFCFEFEFCF8FCF81F3F1F3F47834787 +:1012C000ABD1E0D1EAF4F8F4FA7F3F7FBF1F0F1FE4 +:1012D000AFFDFEFDFE418241AAD0E0D0EA7FBF7F94 +:1012E000BFF4F8F4FA1F2F1FAF070B07ABFDFEFD8D +:1012F000FE01800180C0E0C0E07F3F7F3FF0F8F05A +:10130000F81F0F1F0F07030703FCFEFCFEF3F5CDCC +:10131000C504F1D3BE2318174FE6F86F260029291C +:10132000FD7E0147C607E6F80F0F0F5F163819F369 +:101330007DD3BF7CD3BFF5F1DBBEF5F1FBC97DD317 +:10134000BF7CD3BFF5F1DBBEF5F1C9FD2124C0FDA3 +:101350007E00FEE0C8CD1813B7C8FE78D8FE90D046 +:101360000E07CB4728012BCB4F2047119190FE81D0 +:101370002838FE8D2834FE80280FFE8C280BCB47A2 +:10138000201078A1FE01C8186D78A12869FE0330ED +:1013900065C9FE79280CFE85280878A1C8FE0338A7 +:1013A00055C978A1C8FE04384DC978A1C8FE0338D4 +:1013B00045C9119392FE822825FE8E2821FE83289E +:1013C00026FE8F2822CB472826FE7B280CFE872866 +:1013D0000878A1C8FE07C8181D78A1C8181878A1F8 +:1013E0002814FE072810C978A1C8FE07D01807786E +:1013F000A12803FE06D8F3CDC5047AD3BEF5F17B50 +:10140000D3BEF5F1FB3A84C0FE01280A3E833200C8 +:10141000C2FD3600E0C93E833200C2763244C0FDD0 +:101420007E00FE9830E63230C0FD7E013231C03E93 +:101430002C3232C0FD3600E0C9DD211CC03A10C09C +:10144000FE202027FD7E00FE18C0FD7E01D6074746 +:10145000DD7E0190D8FD7E01C607DD460190D83EB5 +:10146000843200C2763A48C03245C03A10C0CB4FF1 +:101470002006DD3602081804DD36020C3D280B324A +:1014800010C0FE1FC0FD3600E0C9216038DD7E01BE +:10149000E6F80F0F0F5FAF57193A45C0FE17282D1A +:1014A000FE0C281BFE0D281EFE102813FE11280F0F +:1014B000FE132812FE1628071130310E04181311DE +:1014C00035200E03180C1135310E05180511303377 +:1014D0000E06F3CDC5047AD3BEF5F17BD3BEF5F18C +:1014E0003E30D3BEF5F1FB3A04C0CB4F2808110AB9 +:1014F000C0CD8F1C18061105C0CD8F1C3E203210A8 +:10150000C0C3070DFD2128C0CD1915FD212CC0CD6C +:101510001915FD2130C0C3B215FD7E02FE34C8FD91 +:101520007E00FEE0C8CD1813FE60DA6016FE78D2A9 +:101530006016CD3B1178E607FE00281CFE02282C21 +:10154000FE06280ADD21BD12CD82112833C9DD2116 +:10155000C112CD82112830C9DD21C512CD821128DA +:101560002DCD2C11C8DD21C912C35711DD21CD129B +:10157000CD8211282BCD0B11C8DD21D112C35711FB +:10158000DD21A212C35711DD219812C35711DD21AD +:101590008A12CD5711CD2C11C8DD218E12C35711DF +:1015A000DD21AC12CD5711CD0B11C8DD21B012C316 +:1015B0005711FD7E02FE34C8FD7E00FEE0C8CD1846 +:1015C00013FE60DA6016FE78D26016CD3B1178E625 +:1015D00007FE002826FE022836FE06280ADD21D551 +:1015E00012CD8211283DC9DD21D912CD8211283AB0 +:1015F000CDFC10C8DD21DD12C35711DD21E112CD74 +:1016000082112838CD2C11C8DD21E512C35711DD18 +:1016100021E912CD82112836CD0B11C8DD21ED1242 +:10162000C35711DD21F112C35711DD21F512CD573A +:1016300011CDFC10C8DD21F912C35711DD21FD12B7 +:10164000CD5711CD2C11C8DD210113C35711DD2158 +:101650000513CD5711CD0B11C8DD210913C3571147 +:10166000FD7E00FEAAD8FEADD03A17C0B7C0FD7E01 +:1016700001D6073001AF473A21C0B8D847FD7E01F7 +:10168000C607B8D83E7F3217C0FD3600E03E823232 +:1016900000C276C93A17C0B7C8DD2120C03A17C0CA +:1016A000CB5F2806DD3602181804DD360214211738 +:1016B000C035C03AC2C03D32C2C0C83A04C0CB6FC8 +:1016C0002837CB57201C3A44C0B7C03AC2C0F3CD2C +:1016D000FE16FBDD360120DD3602103E873200C2E9 +:1016E00076C93A04C0CB4F2006CB6720D91804CB6B +:1016F0005F20D33E013247C0C9AF32C2C0C94721C3 +:10170000E23ACDC50478C630D3BE23230511CCCB35 +:10171000CDC50478FE053019B7280C7AD3BEF5F193 +:101720007BD3BEF5F110F4AFD3BEF5F1D3BEF5F126 +:10173000C90604CD271710FBC921463A1E60CD44C7 +:101740001721663A0604C5CDC50406037BD3BE1C2B +:1017500010FA01060009C110EDC9F3169421A7384B +:101760000605CDBA1721C7380605CDBA1721E838C6 +:10177000060FCDBA17210839060FCDBA172128391F +:10178000060FCDBA1711F21821A6390614CDAD17E0 +:1017900021EF390602CDAD1721263A0614CDAD173B +:1017A00021A23A061ACDAD1721C23A061DF3CDC5C6 +:1017B000041AD3BEF5F11310F8C9F3CDC5047AD3DA +:1017C000BEF5F11410F8C9F3CDBC19115319218ECF +:1017D000380604CDAD1721C938060ECDAD17212529 +:1017E000390617CDAD17218939060ECDAD1721C9A0 +:1017F00039060ECDAD1721093A060ECDAD17214998 +:101800003A060ECDAD17F321B4191134C00108000A +:10181000EDB02104C0CBF6FBCB8ECDDE023A0FC07B +:10182000E630FE30C29801E5F3CD4418FBE1CB76FB +:10183000CA2B01CBCECDDE023A0FC0E630FE3028F7 +:10184000D7C39801DD2134C0219138CD3E13FE2F3E +:101850002828FE2C280BDD7E06FE48283EFE4C285C +:101860005EDD7E013CFEFF2878DD7701CB57280541 +:10187000DD36023CC9DD360238C9DD3501DD7E01C9 +:10188000FE8820E8DD360240DD7705DD36042021C4 +:101890009138CDC504AFD3BEF5F1C9DD3401DD34D7 +:1018A00005DD7E05FEFF2009DD360244DD36064CEF +:1018B000C9CB572805DD360240C9DD360244C9DDF3 +:1018C0003501DD3505DD7E05FE8820E5219138CD29 +:1018D000C5043E2CD3BEF5F1DD3604E0DD36023C16 +:1018E000C9DD3600E0DD3604E03A04C0CBB732048F +:1018F000C0C9090ADA0B200C0920DA0D0EDD0D2013 +:101900000F0A0D0DDC10DCDD090ADA0B20E00920DE +:10191000DA0D0EDD0D200F0A0D0DDC1021242D1C1B +:101920002D141D15201C1D1E1FD93A20161D2D1605 +:10193000212017181918241F2724211C241D1E1EBE +:101940001F28201C1D1E1FD93A203B3C3D3E20175E +:1019500018252627151D2F29271D2A1F202D142263 +:101960001D281F24295F20D1D2D3D4D5205B5C5DF4 +:101970005B5ED2D520CD5BCECFD5205F3F402048E7 +:10198000204920414243444546428E8F20542052F4 +:10199000532055565758595A7E7F201320E0112066 +:1019A00009DC12100DDA8687202E20171A20272135 +:1019B0002D14162920FF3803E0FF4806F321403894 +:1019C000016002CD2905C93A04C0CB6FC03A43C0BB +:1019D000B7C82A19C07C2D2219C0201BF5AF573A71 +:1019E0001BC05F21FB19195E2356EB2219C03A1B5D +:1019F000C03C3C321BC0F13218C0C917FF29F703A5 +:101A0000D703DF02DB18FB05FF1EF701D703DF0357 +:101A1000DB18FB04FF0CF702FF15FB03FF21F701A6 +:101A2000D703DF04DB17FB05FF08F70FFF17F702EB +:101A3000D703DF02DB1CFB04FF05F704FF0FFB06E7 +:101A4000FF26F701D703DF03DB14FB04FF19F703BD +:101A5000D703DF23FF0EFB08DB11FB06FF1AF7019C +:101A6000FF02DF04DB11FB03FF19F701D704DF01DD +:101A7000DB28FB04FF24F709D71CF706FF0AFB133A +:101A8000FF1BFB09DB03FB11FF37F702DF05DB144C +:101A9000FB03FF17F703DF04DB0CFB03FF10F70169 +:101AA000D703DF05DB0EFB04FF12F704DF03DB0FB8 +:101AB000FB04FF13F703DF03DB66FB06FF0CF701F4 +:101AC000D703DF03DB15FB07FF05F706D701F72870 +:101AD000FF15F703FF05DF04D729F70AD723F7041B +:101AE000FF27FB08DB31FB06FF12F703D705DF0FEB +:101AF000FF3DFB0BDB0BFB0DFF28F702D704DF01DB +:101B0000DB12FB04FF11F704DF04DB0EFB04FF1301 +:101B1000F701D703DF03DB0EFB04FF14F703DF0439 +:101B2000DB0EFB04FF0DF707D718FF2CFB03DB04CC +:101B3000DF11F703FF11FB01DB04DF02D717F70307 +:101B4000FF16FB02DB04DF18F704FF12FB05DB02C4 +:101B5000DF01FF18F704FF13FB01DB04DF02D75698 +:101B6000F703D703DF01FF18FB05FF1CF701D703BD +:101B7000DF03DB10FB04FF16F703DF03DB16FB04B8 +:101B8000FF13F704D703DF02FF1BFB06FF26F70B4B +:101B9000D706F705FF0FFB0ADB14FB01FD25FF103D +:101BA000F704D703DF01DB1AFB03FF17F701FF037D +:101BB000DF03DB37FB0CFF0ADF28FF38F702FF03E8 +:101BC000DF05DB12FB04FF14F702FF03DF05DB0F69 +:101BD000FB05FF12F705DF02DB17FB05FF0EF70120 +:101BE000D705DF01DB13FB05FF12F708D708FF1647 +:101BF000FB06FF0EF706D70AFF3A04C0CB6FC811E9 +:101C0000711CCB4F280311801C210939CDC5040656 +:101C10000F1AD3BEF5F11310F80605C52124383A82 +:101C200004C0CB4F2803213638CDC50406063E201C +:101C3000D3BEF5F110FACD681C2108C01124383A42 +:101C400004C0CB4F2806210DC01136380606CD61E1 +:101C50001DCD681CC110C4210939CDC504060F3E35 +:101C600020D3BEF5F110FAC901FF600B78B120FB5B +:101C7000C909080EE22009080EE2DEDD20DF0CE1D2 +:101C800009080EE22009080EE2DEDD20DFE0E13A7D +:101C900004C0CB6FC83E01121321B41C7987814F59 +:101CA0000600091A86271223131A8E271223131AE5 +:101CB0008E2712C9100000200000300000500000E4 +:101CC0000001005001000003000015001105C01ABA +:101CD000B72834AF12132108C01124380606CD618D +:101CE0001D3A09C0B7C0212638CD3E13FE31C0DBF6 +:101CF000BEFE35D83209C03AC2C03C32C2C0CDFEA9 +:101D0000163E8B3200C2C9110AC01AB7C8AF1213EF +:101D1000210DC01136380606CD611D3A0EC0B7C080 +:101D2000213838CD3E13FE31C0DBBEFE35D8320E31 +:101D3000C03AC2C03C32C2C0CDFE163E8B3200C299 +:101D4000C92100C00603A7D51A9E231310FAD1D8C3 +:101D50002100C0EB010300EDB02102C0112D3806B7 +:101D600006AFEBCDC50408CB3830030418081A0FB2 +:101D70000F0F0FCD881D1ACD881D1B10F108C02B29 +:101D800008CDC50408AF180AE60FC54F08B1C12831 +:101D90000708C630D3BE23C908F53E20D3BE23F1C1 +:101DA000C97F0000000000000000000000000000EB +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE0000000E0E0E0E0E0E0FE00FCE6E6E6FCE02B +:101DF000E000E6E6E6E6E6E67C00E6E6E6FEE6E6A7 +:101E0000E6003878383838387C00FE3838383838CA +:101E10003800387CEEC6FEC6C600FCE6E6FCE6E608 +:101E2000FC00C6E6F6DECEC6C6007CC6CED6E6C64A +:101E30007C00383838383838380000FCFC00FCFCAE +:101E40000000C6E6F6DECEC6C600E0E0E0E0E0E078 +:101E5000FE00FE383838383838001C3C1C1C1C1C6E +:101E60003E007CC6C67E06E67C00FE060C183838AE +:101E700038007CC6CED6E6C67C007CC6C60E3C705A +:101E8000FE007CE6E0EEE6E67C00387CEEC6FEC6B0 +:101E9000C600C6EEFEFED6C6C600FEE0E0FCE0E0F0 +:101EA000FE0000000000000000007CE6E6E6E6E63A +:101EB0007C00C6C6C6EE7C381000FEE0E0FCE0E028 +:101EC000FE00FCE6E6E6FCECE6007CC6C67CC6C688 +:101ED0007C00FEE0E0FC06C67C00FCE6E6E6FCE0FA +:101EE000E000F8E6E6E6E6E6F8007CE6E07C0ECE0A +:101EF0007C007CE6E0E0E6E67C00E0E0E0E0E0E0BC +:101F0000FE00E6E6E67C38383800383838383838AD +:101F1000380000FCFC00FCFC00003838387CCECED9 +:101F2000CE007CC6CED6E6C67C001C3C1C1C1C1C0D +:101F30003E007CC6C60E3C70FE007CC6061CC6C6B3 +:101F40007C001C3C5C9C9CFE1C00FEE0E0FC06C689 +:101F50007C007CE6E0FCE6E67C00FE060C183838E7 +:101F600038007CC6C67CC6C67C007CC6C67E06E63B +:101F70007C003C429DB1B19D423C7EFEE0FC7E0671 +:101F8000FEFC7EFEC0FCFCC0FE7E7EFEC0DEDEC629 +:101F9000FE7E18183C3C6E66DFDF071F3F6DFF7D3D +:101FA0003810E0F8FCB6FFBE1C08C6EEFEFED6C632 +:101FB000C600E6E6E67C383838007CE6E07C0ECEEB +:101FC0007C00FE38383838383800FEE0E0FCE0E0CD +:101FD000FE00FCE6E6E6FCECE600E6E6E67C3838E9 +:101FE000380000FCFC00FCFC00007CC6C61C383835 +:101FF0000038E6E6E6FEE6E6E600383838383838F7 +:102000003800000000FCFC0000007CE6E07C0ECE06 +:102010007C007CE6E0E0E6E67C007CE6E6E6E6E6E0 +:102020007C00FCE6E6E6FCECE600FEE0E0FCE0E03E +:10203000FE007CC6061CC6C67C007CC6CED6E6C6A4 +:102040007C0000FCFC00FCFC0000FCE6E6E6FCE09A +:10205000E0007CE6E6E6E6E67C00383838383838DA +:102060003800C6E6F6DECEC6C600FE383838383848 +:1020700038007CE6E07C0ECE7C00387CEEC6FEC6E6 +:10208000C600F8E6E6E6E6E6F800C6C6C6EE7C3828 +:102090001000C6E6F6DECEC6C60020A8702070A8E6 +:1020A00020000F1F3F7FFFFFFFFFFFFFFFFFFFFF2E +:1020B000FFFFF0F8FCFEFFFFFFFF0F1F3F7FFFFF5A +:1020C000FFFFFFFFFFFFFFFFFFFFF0F8FCFEFFFF3A +:1020D000FFFF0F1F3F7FFFFFFFFFFFFFFFFFFFFF20 +:1020E000FFFFF0F8FCFEFFFFFFFF0F1F3F7FFFFF2A +:1020F000FFFFFFFFFFFFFFFFFFFFF0F8FCFEFFFF0A +:10210000FFFFFFFFFFFFFEFCF8F8FFFFFFFF0000EF +:102110000000FFFFFFFF7F3F1F1FFFFFFFFFFEFCD1 +:10212000F8F8FFFFFFFF00000000FFFFFFFF7F3F09 +:102130001F1FFFFFFFFFFEFCF8F8FFFFFFFF00007F +:102140000000FFFFFFFF7F3F1F1FFFFFFFFFFEFCA1 +:10215000F8F8FFFFFFFF00000000FFFFFFFF7F3FD9 +:102160001F1F0F7FFFE6FF1936C000E0F070F08000 +:10217000C03000070F0E0F030603F0FEFF67FF9C41 +:10218000660C2091BFEEFF7F20408020A0E0E0C0E1 +:1021900080400201070E0F0F0A010810FCEEFEFE40 +:1021A0000AB0060F1F363F091629000080C0C00084 +:1021B0008040000001030301020160F0F86CFC683C +:1021C00004080F7FFFE6FF39663000E0F070F0C0D2 +:1021D00060C000070F0E0F01030CF0FEFF67FF98B1 +:1021E0006C0320117FEEFFFFA01B8000C0E0E0E049 +:1021F000A00002090B0E0F0702040812FAEEFEFC03 +:102200000804060F1F363F162010000080C0C08053 +:102210004080000001030300010260F0F86CFC90B4 +:10222000689408452010C0102548801020401840B0 +:102230002090010804021802040910A204080308EF +:10224000A412387CEEC6C6C0E078F0FCCEC6C6C686 +:10225000C6C6387CFEEEC6C6C6C6387CFEEEC6C60E +:10226000C0C0FEFEC0C0C0C0FCFC3C0E06C6C6EE30 +:102270007C38CEFCF8C0C0C0C0C0C6FEFEC6C6C614 +:10228000C6C6C0C0C6C6EEFE7C38FCC0C0C0C0C05A +:10229000FEFE007CFEFEFEFE7C7C00387CFEFEFF27 +:1022A000FFFF001C3E3E3E3E3EBE000070F8F8F8C8 +:1022B000F8F800001C3E3E3E3E3E000F3F7FFFFF11 +:1022C000FFFE00C0F0F8FCFEFE3E007FFFFFFCFCBE +:1022D000FCFC00E0F8FC7C3E1E0E007FFFFFFEFCD5 +:1022E000FCFC00FEFEFE00000000007FFFFFFCFC87 +:1022F000FCFC00F8FCFE3E1E1E1E003F7FFFFFFEA2 +:10230000FEFE00F8FCFE3E1E1E1E7C7C7C7C7C7C5F +:102310007C7CFFFFFFFFFFFFFFFFBEFEFEFEFEFE19 +:10232000FEFEF8F8F8F8F8F8F8F83E3E3E3E3E3E7D +:102330003E3EFCFCFCFCFCFCFFFF1E0E0E0E0E1EC7 +:10234000FEFEFCFCFCFCFCFCFCFC0E0E0E0E0E0E5D +:102350000E0EFCFEFFFFFFFFFEFC0000F0F8F8F0A1 +:102360000000FCFCFCFCFCFFFFFF1E1E1E1E3CF8D8 +:10237000E0F0FEFEFF7F3F1F00000C0000F8FCFEB7 +:102380003E1E7C7C7C7CFEFEFE7CFFFCFCFCFCFE9E +:10239000FE7EFEFE7E7E3E3E3E1EFCFEFF7F3F1F1B +:1023A0000F037EFEFEFCF8F0E0C0FFFCFCFCFCFE30 +:1023B000FEFEFE1E0E0E0E0E0E0EFCFCFCFCFCFFC6 +:1023C000FF7F0E1E1E3E7CFCF8E0FCFCFCFEFFFFC7 +:1023D000FF7F00000000FEFEFEFEFCFCFCFCFCFE9D +:1023E000FEFEF0F8F87C7E7E7E7E7CFEFEFEFFFF29 +:1023F0007F3F1E1E1E1E3EFEFCF80103033F7F7F33 +:102400007F7F008080F8FCFCFCFCFE3838383838D0 +:102410003800FCE6E6FCE6E6FC00E0E0E0E0E0E0B8 +:10242000FE00060C0C0C030603007CE6E07C0ECEDE +:102430007C007CE6E0E0E6E67C007CE6E6E6E6E6BC +:102440007C00FCE6E6E6FCECE600FEE0E0FCE0E01A +:10245000FE00060C1830180C06003878383838386A +:102460007C00C06030183060C000001818000018F0 +:1024700018007CE6E07C0ECE7C007CE6E0E0E6E640 +:102480007C007CE6E6E6E6E67C00FCE6E6E6FCECC4 +:10249000E600FEE0E0FCE0E0FE00060C1830180C60 +:1024A00006007CC6C60E3C70FE00C060301830606E +:1024B000C000E6E6E67C3838380000000000000086 +:1024C000FF00000000000000FF000000000000000E +:1024D000FF00000000000000FF00000000000000FE +:1024E000FF00000000000000FF00000000000000EE +:1024F000FF00000000000000FF00000000000000DE +:10250000FF00000000000000FF00000000000000CD +:10251000FF00000000000000FF00000000000000BD +:10252000FF00000000000000FF00000000000000AD +:10253000FF00000000000000FF000000000000009D +:10254000FF00000000000000FF000000000000008D +:10255000FF00000000000000FF000000000000007D +:10256000FF00000000000000FF000000000000006D +:10257000FF00000000000000FF000000000000005D +:10258000FF00000000000000FF000000000000004D +:10259000FF00000000000000FF000000000000003D +:1025A000FF0000000000000000000000000000002C +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E0000000B0B0B0B0B0B0B0B0B0B0B0B0B0B04B +:1025F000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0DB +:10260000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0CA +:10261000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0BA +:10262000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0AA +:10263000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B09A +:10264000B0B080808080808080808080808080802A +:10265000808080808080808080808080808080807A +:10266000808080808080808080808080808080806A +:10267000808080808080808080808080808080805A +:10268000808080808080808080808080808080804A +:10269000808080808080808080808080808080803A +:1026A000808080808080808080808080808080802A +:1026B000808080808080808080808080808080801A +:1026C000808080808080808080808080808080800A +:1026D00080808080808080808080808080808080FA +:1026E00080808080808080808080808080808080EA +:1026F00080808080808080808080808080808080DA +:1027000080808080808080808080808080808080C9 +:1027100080808080808080808080808080808080B9 +:102720008080F0F0F0F0F0F0F0F0F0F0F0F0F0F089 +:10273000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F099 +:10274000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F089 +:10275000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F079 +:10276000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F069 +:10277000F0F0808080808080808080808080808079 +:102780008080808080808080808080808080808049 +:1027900080808080808080808080D0D0D0D0D0D059 +:1027A000D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D029 +:1027B000D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D019 +:1027C000D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D009 +:1027D000D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0F9 +:1027E000D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0D0E9 +:1027F000D0D04040404040404040404040404040B9 +:1028000040404040404040404040404040404040C8 +:1028100040404040404040404040404040404040B8 +:1028200040404040404040404040404040404040A8 +:102830004040303030303030303030303030303078 +:102840003030303030303030303030303030303088 +:102850003030303030303030303030303030303078 +:102860003030303030303030303030303030303068 +:1028700030303030303030303030707070707070D8 +:102880007070707070707070707070707070707048 +:102890007070707070707070707070707070707038 +:1028A0007070909090909090909090909090909068 +:1028B0009090909090909090909090909090909018 +:1028C0009090909090909090909090909090909008 +:1028D00090909090909090909090909090909090F8 +:1028E00090909090909090909090909090909090E8 +:1028F00090909090909090909090909090909090D8 +:1029000090909090909090909090909090909090C7 +:1029100090909090909090909090909090909090B7 +:1029200090909090909090909090909090909090A7 +:102930009090909090909090909090909090909097 +:102940009090909090909090909090909090909087 +:102950009090909090909090909090909090909077 +:102960009090808080808080808080808080808047 +:102970008080808080808080808080808080808057 +:102980008080A0A0A0A0A0A0A0A0A0A0A0A0A0A087 +:10299000A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A037 +:1029A000A0A0303030303030303030303030303047 +:1029B0003030303030303030303030303030303017 +:1029C00030308080808080808080808080808080A7 +:1029D00080808080808080808080808080808080F7 +:1029E0008080A0A0A0A0A0A0A0A0A0A0A0A0A0A027 +:1029F000A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0A0D7 +:102A0000A0A03030303030303030303030303030E6 +:102A100030303030303030303030303030303030B6 +:102A20003030F0F0F0F0F0F0F0F0F0F0F0F0F0F026 +:102A3000F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F096 +:102A4000F0F08080808080808080808080808080A6 +:102A50008080808080808080808080808080808076 +:102A60008080808080808080808080808080808066 +:102A70008080808080808080808080808080808056 +:102A80008080808080808080808080808080808046 +:102A900080804040404040404040404040404040B6 +:102AA0004040404040404040404040404040404026 +:102AB0004040404040404040404040404040404016 +:102AC0004040404040404040404040404040404006 +:102AD00040404040404040404040404040404040F6 +:102AE00040404040404040404040404040404040E6 +:102AF00040404040404040404040404040404040D6 +:102B000040404040404040404040404040404040C5 +:102B100040404040404040404040404040404040B5 +:102B200040404040404040404040404040404040A5 +:102B30004040404040404040404040404040404095 +:102B40004040404040404040404040404040404085 +:102B50004040404040404040404040404040404075 +:102B60004040404040404040404040404040404065 +:102B70004040404040404040404040404040404055 +:102B80004040404040404040404040404040404045 +:102B90004040404040404040404040404040404035 +:102BA0004040404040404040404040404040404025 +:102BB0004040404040404040404040404040404015 +:102BC0004040404040404040404040404040404005 +:102BD00040404040404040404040404040404040F5 +:102BE00040404040404040404040404040404040E5 +:102BF00040404040404040404040707070707070B5 +:102C000070707070707070707070707070707070C4 +:102C100070707070707070707070707070707070B4 +:102C200070709090909090909090707070707070A4 +:102C30007070707070707070707070707070707094 +:102C40007070707070707070707070707070707084 +:102C50007070707070707070707070707070707074 +:102C60007070707070707070707080808080808004 +:102C70008080B0B0B0B0B0B0B0B0B0B0B0B0B0B0B4 +:102C8000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B044 +:102C9000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B034 +:102CA000B0B0B0B0B0B0B0B0B0B0B0B0B0B0B0B024 +:102CB000B0B0B0B0B0B0B0B0B0B080808080808034 +:102CC0008080808080808080808080808080808004 +:102CD00080808080808080808080808080808080F4 +:102CE00080808080808080808080808080808080E4 +:102CF00080808080808080808080808080808080D4 +:102D000080808080808080808080808080808080C3 +:102D100080808080808080808080808080808080B3 +:102D200080808080808080808080808080808080A3 +:102D30008080808080808080808080808080808093 +:102D40008080808080808080808080808080808083 +:102D50008080808080808080808080808080808073 +:102D60008080808080808080808080808080808063 +:102D70008080808080808080808080808080808053 +:102D80008080808080808080808080808080808043 +:102D90008080808080808080808080808080808033 +:102DA0008080071F3F6DFF7D38100000000000008D +:102DB0000000E0F8FCB6FFBE1C08000000000000A8 +:102DC0000000071F3F7FFF07030100000000000015 +:102DD0000000E0F8FCFEFFE0C08000000000000002 +:102DE00000002510A3070A2783210000000000002F +:102DF00000002902C89EC99C481100000000000084 +:102E000000004A0853072D0783110000000000004E +:102E10000000520198CF18CC1940000000000000BB +:102E200000000103033F7F7F7F7F00000000000060 +:102E30000000008080F8FCFCFCFC000000000000AA +:102E400000000012894081153F7F00000000000053 +:102E5000000000902204C28090D600000000000014 +:102E600000000009528043153F7F00000000000071 +:102E7000000000209402848090D600000000000032 +:102E8000000001010101010101000000000000003B +:102E90000000000000000000000000000000000032 +:102EA0000000030101010101010000000000000019 +:102EB0000000800000000000000000000000000092 +:102EC00000000103010301010100000000000000F7 +:102ED00000000000800080000000000000000000F2 +:102EE00000000101030103010100000000000000D7 +:102EF00000000080008000000000000000000000D2 +:102F000000000201000102010000000000000000BA +:102F100000000000800000008000000000000000B1 +:102F2000000000010201000102000000000000009A +:102F30000000800000008000000000000000000091 +:102F4000000005030703000000000000000000006F +:102F5000000040A0C0A00000000000000000000031 +:102F60000000000000000000000000000000000061 +:102F70000000183C7EDBFF5A814200000000000088 +:102F80000000000000000000000000000000000041 +:102F90000000183C7EDBFF245AA500000000000062 +:102FA0000000100804030000000000000000000002 +:102FB0000000183C7EDBFF5A814200000000000048 +:102FC00000001008040300000000000000000000E2 +:102FD0000000183C7EDBFF245AA500000000000022 +:102FE00000003838387CCECECE0000000000000053 +:102FF00000000000000000000000000000000000D1 +:103000000000E6E6E67C38383800000000000000EA +:1030100000000000000000000000000000000000B0 +:10302000000080808080808080808080807C7C7CAC +:103030007C7C7C7C7C7C7C7C7C7C7C7C7C7C7C7CD0 +:103040007C7C7C78787878787878787878787878F4 +:10305000787878787878787878D1D2D3D4D5D6D76C +:10306000D8204A4B4C4D4E4F505120DADBDCDDDE90 +:10307000DFE0E1FFC37A30C3DB33CD9430DD2105DF +:10308000C20604C5DDCB007EC4BB31111800DD19BA +:10309000C110F0C93A00C2CB7FCACA33FE00CACA07 +:1030A00033FEFFCACA3321C030010800EDB9C02188 +:1030B000C13009097E23666FE98182838485868712 +:1030C0008BD130DA30F1300B311F3138315F317F45 +:1030D00031213334111DC2C396312105C236003E61 +:1030E0009FD37F2102C2CBC62162341135C2C39661 +:1030F000312102C2CB46C2B5312135C236003EFF76 +:10310000D37F217D34111DC2C396312101C2360106 +:10311000CD0834CDDB3321A034114DC2C39631210B +:1031200002C2CBCE2101C23601CD0834CDDB332122 +:103130001D35114DC2C396312102C2CB8E3E0132E4 +:1031400001C2CD1C34AF3201C2214DC236003E9FB8 +:10315000D37F2104C2CB46CAB5313600C35F3121CB +:103160004DC2CB7ECA6F312104C2CBC6C3B531AFCD +:103170003204C23202C22145351105C2C396312143 +:1031800002C2CB46C2B5312135C236003EFFD37FE5 +:10319000216C35111DC27E23666F4623C57E23E553 +:1031A000666F010E00EDB0AF12130609121310FC8A +:1031B000E123C110E73E803200C2C9DD5E03DD5667 +:1031C0000413DD7303DD7204DD6E05DD6606B7ED05 +:1031D00052CCCF323A03C22107C277DD5E11DD56F1 +:1031E000127BB22007DD36170FC37A32DDCB006EBB +:1031F0002008DD7313DD72141834D5DD6E15DD661D +:1032000016B7ED52F57DF20B32ED4467DD5E03CD6E +:10321000E933DD5E05CDF5335F1600F17BF2263232 +:10322000ED442802155FE119DD7513DD7414DD7EB0 +:103230000CB7200BDD7E0D2FE60FDD771718103D44 +:103240002197354F060009097E23666FCD9832DD40 +:10325000CB00762025DD7E01E60F4F0600218D3262 +:10326000094EDD7E13E60FB1CDC233DD7E13E6F0ED +:10327000DDB6140F0F0F0FCDC233DD7E01E60F4F09 +:103280000600219132097EDDB617C3C23380A0C08B +:10329000C090B0D0F0DD770FE5DD7E0FCB3FF54F6E +:1032A000060009F17EE138140F0F0F0FB728E6FE74 +:1032B000102005DD350F18E0FE20280BDD340FF659 +:1032C000F0DD860D3C3801AF2FE60FDD7717C9DD45 +:1032D0005E07DD56081A13B7FA1E33C30433D51A36 +:1032E00067DD5E02CDE933D1DD7505DD7406AFDD46 +:1032F000770FDD771013DD7307DD7208AFDD77031D +:10330000DD7704C9DD77121A13DD7711DDCB006E8E +:1033100028CC1A13DD77161A13DD771518C0213162 +:1033200033E5E60F2135334F060009097E23666F2A +:10333000E913C3D53249338A334E3365336A337068 +:103340003376337C33823394331ADD770DC91AF622 +:10335000E0F5CDC233F1F6FC3C2005DDCB00B6C96B +:10336000DDCB00F6C91ADD770CC9EB5E23561BC90D +:10337000DDCB00EE1BC9DDCB00AE1BC9CD1C34C3B9 +:103380008A333A02C2E6023202C2CDB333AFDD77EE +:1033900000E1E1C9CDB333AFDD7700E1E1CD1C340D +:1033A0003A02C2E6FD3202C22104C2CB46C8360050 +:1033B000C35F31DD7E01E60F4F0600219132097EA9 +:1033C000F60FDDCB0056C0D37FC9AF3202C2210554 +:1033D000C21106C2015F003600EDB021E5330E7F59 +:1033E0000604EDB3C99FBFDFFF16006A0608293047 +:1033F000011910FAC90608ED6A7C3803BB3803933B +:1034000067B710F37D172FC93A01C20604111800DF +:103410002105C20F3002CBD61910F8C93A01C206F5 +:10342000082105C21118000F3002CB961910F8C9F7 +:1034300006333437349735023C344A348021010056 +:103440000001005834001800010D80230100000124 +:10345000005C34001800010F002B1481820700016A +:10346000148166349735016934802302000001001D +:103470007734031800040F820700251B88813497D6 +:1034800035018434802101000001009234031800CA +:10349000030F85004000F80683020038007806819B +:1034A000A434973501A73480200100000100B53411 +:1034B000031800000D8500F800A00300A000B00371 +:1034C00000F8005003005000D00700F8005003003F +:1034D0005000D00700F8005003005000D00700F85B +:1034E000005003005000D00700F8005003005000C7 +:1034F000D007800B00F80050038009005000D0076F +:10350000800800F80050038007005000D0078006B4 +:1035100000F80050038004005000D007892135973F +:1035200035012435802001000001003235031800E8 +:10353000000C83018500C80040048300004000B0F7 +:103540000A8684323549359735014C358020120082 +:103550000001005A35031800050E000001035D014B +:1035600003900103C70103FF01845D357035973572 +:10357000017335802101000001008135031800012D +:103580000E01000300800200E40200720200CB0181 +:1035900000660100400481A135AA35B035B435D0AC +:1035A00035FFFFFFFEDCBAA99902DEFDB9753102D5 +:1035B000CDB97601FFFFFFFFEEEEEEDDDDDDCCCC19 +:1035C000CCBBAAAA999988777766555544332202CD +:1035D000DEFDA75302FFC0FFFFFFFFFFFFFFFFFF5E +:1035E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:1035F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10360000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10361000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10362000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10363000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10364000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10365000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10366000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10367000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10368000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:10369000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1036A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1036B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1036C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1036D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1036E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:1036F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10370000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10371000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10372000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10373000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10374000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10375000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10376000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10377000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10378000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:10379000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1037A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1037B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1037C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1037D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1037E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:1037F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10380000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10381000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10382000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10383000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10384000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10385000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10386000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10387000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10388000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:10389000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1038A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1038B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1038C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1038D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1038E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:1038F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10390000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10391000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10392000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10393000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10394000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10395000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10396000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10397000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10398000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:10399000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1039A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1039B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1039C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1039D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1039E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:1039F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:103A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:103A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:103A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:103A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:103A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:103A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:103A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:103A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:103A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:103A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:103AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:103AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:103AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:103AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:103AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:103AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:103B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:103B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:103B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:103B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:103B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:103B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:103B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:103B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:103B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:103B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:103BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:103BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:103BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:103BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:103BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:103BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:103C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:103C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:103C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:103C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:103C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:103C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:103C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:103C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:103C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:103C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:103CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:103CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:103CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:103CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:103CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:103CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:103D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:103D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:103D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:103D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:103D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:103D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:103D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:103D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:103D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:103D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:103DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:103DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:103DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:103DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:103DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:103DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:103E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:103E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:103E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:103E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:103E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:103E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:103E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:103E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:103E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:103E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:103EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:103EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:103EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:103ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:103EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:103EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:103F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:103F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:103F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:103F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:103F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:103F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:103F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:103F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:103F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:103F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:103FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:103FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:103FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:103FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:103FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:103FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:00000001FF diff --git a/Sega - SG1000/rtl/roms/swap.exe b/Sega - SG1000/rtl/roms/swap.exe new file mode 100644 index 0000000000000000000000000000000000000000..206d6afc43d2925b9d69ab4e689781962e7b5b03 GIT binary patch literal 57344 zcmeFae|%KcnLmCfbCcX8lgt1K1PwCCsA$ke14)m zf9!WZ-`9Ts6JB@jx#!1op7WgNJm)#jdCp1YoqGhQAP6EJ%MyeGc+JKCJuMiVyJpFHild;$ys9yPv6en|~juIE42PwY?Q% zc>m~^dn-P|d+6K0sCXIgpCbPmy#E#N5AnWf&r=-E%FTB*5$t43LP0@T?hu6o|7MgV z;|2t`Bg>H{2oc0=vt!e*#oL2t4}AyhFusXS^e_1q1c#l5IHDu}pmJ20zsFw`DLnqD zAcQC|Bna^w3j8nq+k<+5$`}2+PTsgvM&f_nhabW#l6d2l{O1*fb=S3QSTC;^gn5J; zU|yg>G8d;t;5s{-@JuPsne{?m`4_>ktA|_fb3({ssPL>^7mq zm%%S7@FfMlq`;RH_>ux&Qs7Gpd`W>XDexr)zNEmH6!^cT04wu}9j9qI8|_gt)x%cD zA^M2vyL}Sg`YNAL6^f&eJH8U1F2ti0hp0l`cu?g@m9`|<^WDF7!%N7xDZ8dff%?#ozO$ap1>@X*Rd z#jV~V$eB$!LVCoA=c2%N5yeN?dG&}@+*AGGPe+dxo@K|_C_C3Xm~!R^?0NNV=b0yr zT^v!7y;N;X0xhbIzp*148|v4@_UzfyY&DtR2nZYY5}`sHv@7Uc1$@u4o1!D~Eqb{G zY`cIhaJmLDSF$uTAsvxph~&7UK}MDhw$@0o1O4d)sM%%wBfi-X8mGxVDLT?$<^%SE zAP1}2TA#PNzZ_H*g#L1g7VE}lB%;A-N>21-vbhxJ3qF&^DysDeYr2c%2;p-O2ySf z5+R+7npv@aj{|)(ORtqyj`vnJVr=ZDAx}TI#-Kwor=mcjLp%qNB1A_t8)f;*nnZtS z<&yCqlM{V0Ir^@gW3yg6;)w6G;=XhtK|J*(D?uR6GP5ow(Kr%+hnmK@A_~SHM*>`7 z*Pntc@(pNYZUT*}Bwth|ahXa4iXKnm^Dda0@Tmp{KI(&;jP5bZ0=u!@zI;RwpC=fj z;}Fq`n0~^)TK2)`GxW{qFMt^-^GOalqt>{_{$_m*V7m(dz*!r>Sr?C=j??Q<6YKPC z!>hWc_#~TC>o>KvJ`eaQU(VDkr79~=+7l4M+GD<*$mBQWt4r!dWhSc;RvIVJDt}B1 zV%V3|j}LnXVp))eeGf=fRD~fZ0)!g3+?`}~gXqcyb$o&5%#|Un%;z8JQcnAIc-Ou#5dfHPB zSyEDbQfu>h{O5pDE>KyTY;?`DD2$!}@#Y%clYx{$0uk-@n|)=zIVtYW-ywshNNhe$ z41iECVzNjF?J!xqj?VO$A~h5=o5vi8&SK~*ITeZQ1Y3nZm^VciZ{#yj91tKwg93!; zh`iYzxQAfBj9RkDZldl){0xBduy#RTo{_?iO`J1Wn=cogFptkwA}dN1@Paj|Z}jDt zrsxY7e@>Z?icfN0IaiN9e#N+#7+|e$F2qYQsKF-^>KK{=h#t`qwB0Aj^Yj%o91@-T z1@p^Kz-ypct?_^C0I)A;WU+?i;8qV69F#CFF`mQvE-5???<;8Q7r+cBVXm+Pjm13; zF_zxgFhNFuwqkc?o& zvLm(UZLzzz`!)xaM3y^|Dh$PzDiUib$4;3>KyIqG3|^(UIfT-P6w>OnI|w zI-jP;1r&2J*{ctw|kV6NMRBVAcU2L1EJ>z?l z##)z%&G(dR2eO(I^X#REn_QF$H77v<|PZDos2w9(@ zDvf^}wJgASion5mBo>oTLsJtj5=Tz?Lly^`;nM& z4)b>BNq1)_=!SZhe-6HBsAsud&$}o~$X--WQL>)rGEol+i!xtmWr!+EG$Y;cowF=N z6d`$C)k=0aksujac0xW90uvH4j1NENCDs{rzz{`4M#v5nCCHd%+=>X`{3sN*Pt!OD zIG5QtmwX!MEYN0Q66Y+!nTs9Y+7KsD0yVd>jWAf{TZqbiE74Vn1dkE6QCn*~i!MUy z!URT>jensC%#kaNC2@%PPTy{U=a>^hY(>>d<1|oK57D6T84ZwT9 z^Qy!fMl8FbY9(q*_VrX_!CBsO6-Zh=p-ZP3GwlTG>v57#r+B zMS?b$7}Yj^J_%O-bYIT^&I@eXRHB6!(dH8L^_(QmGYMzAugfm#>&uKzbQjQ?gUY=V z`g*4EHA-0SE93eG0&@}=%`$qaYgZw=5ys%Z(APfd>xJm+pMml0zV;dvC|INI(KqX!;B%;u&ZlXk^em%M1!^J(D*y8gIg}sDF@o|5=agF<12OHQy`W~ke=tHyG2jNdGB)ym>5(`Ny zx!UT15T;pbVtJy6dKxncC=wkBUs!!($yQ~<_|)qAeu9!%eb4Zr+08;z1WeHEY^ESW zky;R9m2WMJV}8wNH$VfKxTyaa06x2@pM3#r5}+dqFhBr@ROq_0FW22^VyLO-q4oa> z0ccq3s*U*=Ip}n7u$LLlLREE580zIVE6)oAqdo4<24pS4g4-QkMTN?I>xxhI8eFHu z2wdmxJW5Rvs+&n*6g55he*yyO(J*GPSoaIPhBR_?05l)0^-`@Ll6+LGQ4f{HsI?(u8gilT0_3bRGi!|W&z1rkto{rGoQ2^2 zECXDQ*aTnIHf;mG#rdS>VKZ?#@Dzcb|Z3FQ+n(`O2sbMjNrc%xQ=| z@Ub{CZx|hsWZXxQ4UFq^M;}56mIn-ha&(|)WgoOXK^Jcc8R20NsxJiq@~gU_SLwO8 ztTYxUBC!w->8@L27+=BeU$JN`@zy8Rjy z(C0OnBIrLC^?1~tlqy@f-)#9K7;j#pd4$s{-%Pu2)N1hGl@qk0-*h8x0PgGUa%BErJ{8p2|x=>#(EN@>SY{0TbHa^V)X>Wn*DvYwk zfVdV!DpIaW)a*agniW}i%gu6Xx&KVdM+qobB06vIS*(@A#+}4&7zbe9m1dyn8LHFz zE7d86jYWw>HlO&PvRJRzSg((dfsRAOfw8cWi;PIFwKMWem}nOwk;Lf*u?K1DMC50= zelD7PG1uSEk&tYzPgFE6?)SjN3Ol0lAb>%P8{i&ca58?HGn+&qCGxAH7tszEA=mW! z6$r*580%t96m8`Vp)t{(b{JNF2`Le)VW-u0NvI7gVsVdIZz+;34A>Ba)s1S8(>G=m zpgbF^4L4gz-lSdy8A(>&xg3&mIh#KRA?tORM02dyuON>xD7)zq(s4q@9jFB>(bLGH zZ;YcwK-o437oYT-yw=9raEpGhTwh#K~7^ZmsE*lU~>t`ZIl9z zZGKCyHGYDRI@|b`fW|6BZH34Jk_}dh_Sirt0z%Va-z%4r)g{s-w%rBpOoy162WmEO zPB`slL8;bKJX+Auf&+SR0PX1yo?eWa`-7*@4G)BjZ=f|8l~&~`HndY>!GVZZFtd>t zmKUQ2Ht#w@@<%~4%l-E2KehCL9qHe~oFgOITLdSRVg5H{|DHP9cc(}H92HOL$e zaSpAu=2KP1C{mFXype4wW7I242$?#37%yRs4GiiU8`d0&H9Potx(fO0Y+T8b6?CaR zE`Z*^W}x)~b8gtj-@v=x#qJtocaK>ER30{$)pg$xebzwYMXjv@2-{Af1>^m}v8Jm@ zN#fkWQ8DTX0T&C1zE4=CODh%a+^jyXIX7s$$^>xET_WI(0UHddP>44t7u}TLwzX4u zOSNDwim+fV`>%StJ9DWO8&3igb^|RX zzY3s@v`c~EVHP}{Xm{@sf;k3=ki6D5kF`yr2I0*){sg)P)J{}959q2JPk?FO`VjT8 zU_RIhMYZ557AydFv7i_AsvCy{b>lFeIG!Wl!Gb4}o%tf?05pu~ zOFS76)qzdm88@))5BR9EX2)u?5_Zv`%i2a1MdSIe-F_bAB>26}aEMTM`UE8lEQby< z-X&uyu}lH`n%BB3AK*|aClt6uZFdP_)-JIfF4pK_eK3HcXWB}j+$yQYY})3i^sLau zr%RTrqAsfKss0LSS1KjDsMX+|;1~)Koc8BgaEw*vmTT9u%6!e?U+UT+`iHis8Yibi z9*IvkHUI)R{>2yV7T0j?daMxQ8^dE2)^YX3L0}(D%i?IM2yIT_Dj(>9T>wm$9xden zCOjbqf+5NiYChj2&V}{gnvYnRy{@1aQoL-n#J0JBsC=DY_W9&F`n>JT^$QJFGp)j< zw*5jBl*vsFP?(kdLNWpBB2}b#Se1*dbd^*| zN-D=KR$cX*h5G`6nVAR>yWcKpOGNE!t~wI_FJANyZ6}Hs_h8@f!=ILp{^5@9pzD(l zf4X!*x=gcR3hN(hNBax39+i_wGmBz9;b$vgSXImo@(}%JpAdX`mq%GPcrwYVb6ZScX

xA_dIK3xS@ zKsNSb)gtoXg)%0!B7V8P}ITR1~vgP6oY*J+1rHgmB2BNf^{tW zNxawxg&(SYqQ9@9_2!jEAClD`58B9cPxEn(0M#5ZJ9}X^CG4Z0-m@vXt4p(?V4~F= zYAQh#?MbK`;)5pey3rFAF}_eW=Do0umM*c3gkj1=}Bi&w<@9dp1QY?&B6BBxgwJ78_7i_yIOXVf@8Z=ZpACNXb= z^;CP34L3`5&laJy-ac^V2bd%vHZYR);4s8H)QD5)Co8WF1a54gDTWVNhB066Vhx`C zUw3z&K}YQmxT7Y$9r9FllPK)>xI0hco2`(1=d~OSnaf?2pl);tzV}@zn||bSAXd4& z}{<5eA zrDK;T9I7^^T*Z4u3%bl=ta9Y3EXH2wji;(_&tzis@c}eXJZz>OC_-H$0nsaGH>Y5Q zZIn{yLbETIx+|qF$;@qbU>mBDK=#;409n%RYLwH|6)vv{X)N(&iYd9gz#o>9gb3|8 zU6F!C6ogT`t9Yb)=F-lSnlh{@r?qyMyK^`Vw5S{^K5j~QG399S@sgU;?%i)8K61|6 zHYIXy=L0$JXJg$F>I-$iObFKRU> zw3-2}=9E@5rq#SPxDz=5NHx5&Up1WawW=Y>Gb<|O$@p?d>yWHgj!AKw9{Z*t8{)5jWpoir zlOyMLxTB9#eB~$7;6L!PW1hi>?0|PLN=digsN_Z-aLiM3mM%rU2)WhN$ULIR=(ufUr~8vm;M;R5us z8sF&FzYX?QXH&Q8HL|1OgCIP+$-xzzyN`7187F&e!m@NnL=7 zpGPPVF#nR!NFp&Wx;LQz_5h}P|MOaV+^xTYl2B9^6x6#od)6tB{a}D0RwJb7cbf$9Hy)`97vSG zzkoKpp_pokgXCf?7$BN0%j>MVq;pPz!0m5Yn2CB0E+4})WeAu>A?dqF@^p)02iMz z7|lA~iJ+{UtF{kee>RcH%3F&Jbp(BKbYw#GDjvz&w~+3t}gw-*FbH-TuAbK@F~RD zz0ccR8BcV(EJYRwvNK?QP}n>8FfTSFp%_Z1<24c-i-7i+@&viQJNQ~(@UKN;71m3j zp3oIE&5A@0DkJ;RgcNf=@0Ux_gGlq%$r+ni<>^hDWTxV+Nr_$`oK5`!wgPzU0U_@v z5g9`TLg8Jc)S0DJTAqd90B0p^%uu}hxt{NsR~WQASLgos9`nQT43hEByx@TGPxLN6 zPAT%G(c=$HYlF*G>!i^W>T&oijJ`>fW*@`aGsHfodKIVo7pT=kLVHG{_e~Lc%P8y1 z>Q@jt1y=iaK93Dql|#{<2hu1-_OsydcUFeNwOX)8t2t!MM2}g@A$CHI6p|7`H0pUHRrO0k9As!8qzq!2(8}&MM=Pk|G6|D%r>sz;~ac2uW)D z3Bes528~$#*hnlOUpdn6kkil=*P6K_F*{gjW{kvA z$q6A;+w3*SUr5C!803{6{3}=Gp;z5t$Bx7>d`4p8gc3!@e*qjysO@hFa;e%rEbOAT zV?n3yv^Fv462LheT4I#U2Hi0jcio^@p2kW`;_=1_yEN;+18qBM<|R%{PgrCNd8U+EJ#v7E9duod9R$ zMWBkos)3aRZMR5fv;G7a7aU~KS}8o*i?J-o?rukEXOHZ+Ka~%dt^Shi!)gi0+ zpxP_`NN_khWP_ECA=~WEQ}QK0!hjIu9K4`~rWtk{nG zV{uQ6_eL!`T%s}l}N zf8q!-&t!errwJMV@D&^EWhVUk6lb%eLG7cw6MCtWdWpCJOShxn{DP%h0n|k--HNS} zMa!`UQp&@yHLa;`I*bC`+EfdR6u_(zn5(~3L#DhJ|Kq`gfjqy@d< zu$`R+eYAd22c!U4)N9*bu}M*X%KxA4&c{$pYai3aOKp4YmJcyIZZy~-E%+8D56hea zlLIaN;QrFiV_5yElJ>XcP1Tn2mR$pEU`e=WbR`GQt-fKcGEsx1bc4Lhmi%odK(Wz{T|=ug1P2ny@h+z=km4{FYWf zz;T{Dj&t!zieRYyKjo)tQ3;kt!1@AeK=?7>6NU{t95&NzWNNFMyyz6(Dl!-Iz3a|< zA!u0N;1+tVf;|HQCVIS9zs-UL7E`__4Yn{PIgOXZ^;&18AAZi8`uEdI zZo!h7VJgRx1WTGt@=SG?D9HDy?FE9ex!HpG6dd3(axhjf9hkN1E|(yOIu_j3diQ`b zw`6DT_N!P$0b3?P6Pw|Fy2iJXkW>onf?yz5$T|j7nmN;sw-n!mB!lz-q;e}#!rGRP zwPS?Ld@uyZEhzJCSncHdOU1TS<85dt)g&2zL-i+`aG5)LE6rrPJWi#yWLK_IrS1au zx3l@%z+?Vt`r#C}#;7g5n`4SsY5Lad#q> zR-%;Zj*?=A9Pa301X%l!9yGZ01%IRI`Nk0JkI)R^#}^#)2MxE%sDS^0Hviv>5A0w| zVCR$A63A#w^}DbjEnoqcz7xWqV&c1ITH6b(n`p}NQ?p2zE0;h&fLJUE#vgdwsvK5> z5~k*1;emsu&r(i4QxKS zQY4{IkocPY!r%rNYCAgd_k$VG|7m)vQWueZ5&}e?l`M3j1R>wcEjR^0zLm8X=+L=o zhDy@tMZO!B3q6Tw6mPx5e@ojE|1R-?TgWD`!qYn-I(u2gFxVvc;TFs?q_T`#Cf}O#h+FfiZ6fF6+$WBSnLy}xdvo%{G`g>)M+AG0p{wJ0=TyR{1-Zd~$z!C$I$3;iG zhkybiip_%@J7xY*YbArgM2SSQfC=_6m7SQl215Xej#JycLN%)|;3fu4a9|sBiDdj8 zCm)zqMfl2$g$vSpCT5~xM`fm8($NeEo^s% zjK2($#t0kgD{%M*IY0WrF}^J@sPQm7#A&UF;5ap`RyY@dt?BMwO}g9ybWxjo--6cS35tq9qg z9bqGDJmxYgSe}o=7?rs+RG_mU28uxXphQVZ?ns(LUI}&ttk!(vm)9g=odPup)^p=A z#}Q*~&qcPdF^E{wt9Rv!?&!1BCFz8~QwXVjQmy$9>aKjOd~B%7%|`t=l-&uqUrofw zy8x5QQ1u@pv91ne)@=CZCS!IoUoByCee$bB)M|+rL}y?0cw07`cLQc-=E`wC@9b?L zZJ+OzsHes1D%#lLTY{*%27?!437de%E{qu{g-7A~`ycg)t6^rxSsLt0>aR#GtTNUj zM|~Z33SEh&75(>3qn7M#!!SVurzS_~Q8PV3BTD9D6TpQ*C)@{Yf>rzvpgythe+G3G zgx21t-sYK8tfNid$1$K>_%U8arA-oj3iSCLKB4BsxKDr#FJ?>)#HtiQ`>HMjQj|wP z$8IcHbQsN2CLsZOVwj7giK5NLd^j&=ws+maZ8+lyM=q#Dff%+cy7N{oXPE zP|Fm4UIlom?}>iCKhXMaL(^PB%120nPr=ts3n-$9BCz{GWA@}4>{p^{jiZ76Le$&{ zgFT|KMdfORiy!JDxP7AeE}yZWZ%W2|^d=M^cPCAm*w>1}895I@^<1jrQrAgn>gvI4 z9^r6zj-WD@{UY$!DlyBrG#-ZOO+70mG@xwn69Iwc`S9yJR_lMhJx`yvtnipV@0UN@ z2Vz`_QSG`-8O6$X{#@i)^boF1!A^WCeW(C$ZdEqxZq^L=Y{*IzD?HaogWpPBBCF|8XjR)%*Oo^HfSI0UGh<(&v znB~n3QqA)o1!XaZLCF?b_8eeclD8i%t35!!GPM?Mr7%X6zpqs+@hBhZu4N6nOQ%?W zFGb0)#mC?>P%>jbI1xT7sm2hvoz16Rv0|$`?dF&~dEp}2v9#9s>Lm2AE~hz6Ll=Kb zJQp&Ske4ZWl4$eyqXYzahLQSTv>CIAAS{?Em(+xfYf+LJb-~E3i{#5|j2sjqr-C$| z{dLHay0CSlkv@a~*rLw)$%2{6k$Q_GVRXKaXl(|8(=Kal=udqDm^x7V8k&h9t1iO+ z24Mf}QRKCc090FfkAY5mX}eFGe*p39JX|7DSUjow!Ry7S1T@&hSS40?UD(r$!>^{%JoaCXs zlYWjh2~`Vg-kYE~!zdqFaJf>}9dZOBA73tC4LiaW?x&BBe7*D)Y^}*Ii_Y7nb$hy| zmIrwF_dIOA%s<4)l|nfIC=ZxRcuXo!?)C)SPro^$P6U$Lo!@m?Ico9B8K@;A@^Oap zV&`$iSz(ezM~rN;p!ekqSeCgv2hg%^59Ljzd}Nm8@wBv)XIZ7zag+)-g0_*?wd@THSIxg2k4n<@KO{WHp1sh4&CCYa{a!{+uKW4I5Z>Pg{ zO9+v^w6*1y<96jtw+DFMrnct`N+zLlD^QVdCRZx?I%J$ap^0fWeQeseClJsHs#%V} z;A+TP-bxV6atuzRPfK>f(F%P8Rgi3MRaJXmdnrJkQ`as8%hZO8PZp09gHe@Y?w5T= zhIF7D)LL_nmA3%7SloZk-T7C<*l8&U4Pva@)`kuQz(X#(yu0%tzBiRz#`@$OY;u<5 zD`)N3hlPD?5iOyQ#jC3W)zzWe>T2@(v*6i?qii?S7$@PRNkgX0=aFYuSJ#9fV|~bF z!7)q8!H36Kiv?aCKfSfq=Yh}B6NFyOtR~CIa{oCJ8A*)gg$rh~emOI+AYVC0KO=?x z0cO+~GOFRj8H_h=4twc9AMi(+XnHD78t z-X>(`)AnS4>f;!EUNl{QkZ9*0YP}>v`=vQnUwLZgUdjV)DV5U9Kf(JD8`62tpsr1r zu@-|He}|&bPRmFg`xS+Y^d|9uAQ33N;JUUHHdHd7>S$m2+!Fw1IAubK7G0}g%?bpR8?Zz%VVd?WuD3#HZ zedS_@lG;47+RS9J3T}Yv`3QiYB2vfUiY-qA;S<%k`1Y)J3w3B(WQ7gVrAKz}RmNkBlmFHwKH6KN^RB=xsj5J+u- zcD#Bp1s$nJUjsih?eSoeXxa&_$;vy#3)wE<#V2*0GA#HW{mN6i9ShgDNel0PK%DFC za+EHZuDokQXr3BsT8HAsHP>=B1h-3ein&aWZh%Jtm-C^7oL}%giqduZ{Q{_zgl#jb zH)O2BY*AN-BaXK;&kY$5(jo(+OfCwr!!wxF6|3#~$TG*hltm`9|aKQ362r zku_b(Zi+iW^VhS0gqca5oNstA$DqmXq=kGxiethiE%n9PB{vkUUQ$$qxkf!LVP#)4 zMm|tMX*9r{irmq!qqF#S5o|kfdx&dG##pP1{jEeEL+f?dcD3zc*wuoyHTu^))~bia z`UXJA>XD0gE<~%0nD+G2mD=i4IQk+!ENMwRX(cTKor9|CqP~aMM z(W-qAy~?bnF#tEkP7RGt$ zqNDg|`2hYSz3({v-=-vYv;bL?m0{l(Fi>H3qC$7)8;I!mm}+%*et=&+x)$ALvmN#> zxPE~GQxfq_--5<%_^}?TtFxoRA^o>h#JCb7D}c?Oh1;*TQ$ofRL_rtfAXUTP>~zMP zHUL7{$N)1!>(`^}FtVB|IMn}ohsGmCz=~mve>9cx)He`eJza)2*?B_7?-0}E@#65K z4W)qRn+Dw-=C?+Zc4%~7fJ|368V|y#ScgFKuq}bWuLWQ@7_CUrqL~+5hS>(D*W0Om zgAouMHVE2GCLR7wQv3!tBQm)^TAiqv}CNp-`A4D`a)3Jnl6V`%6K0H1W)WASpA{ zMYMN=&GuU3IyjKgA|{%No)}z5EZ9G|1}}_ecXToZ&JV!hV`RHzZ>#Vvm;`x#PrMa$ zaf2k0X&aV#*u#aT6@+?>6N(djJd9m@x?pFYpP5K`JO{-ViokrGhrm z+)q1UvrNzoK*Pojvp}^((T&K+35Mf3K=@O8Je*ETJ_}*UdjZ018-ke&^ZDlx#~mFb z31<_?-T6K~YK;sa%!wqTPV*M{2!bZF|6fRr0X8*B=t-J? zBJmTwtVo3RnyX&X2}n>PlK`;*MwgRB>NuT?MJNVxwLhCQ9vn$m?*lF2Qt|<5W5BY2 zJIE21&TatQ57R*yIcQnpfp?46KBS}<_Y6|pey{9mP6<~T64(+P!JN)MY(7QYoxNxV z3{zADe!%UL`@z2;MvtC8hxxB^tgzDMuk^HDjUep&-5HUyIN)Ffhx&xV4(s2d zoQ2QBWCeWNUL<+XW3UDthUB%s!Xf6g<`s>fv{?er21hXN?{CY(BC{~)@&`RF-NkSe zK%6_ufI>;TBsVsvt}Y2m%JK^o+D8-{j39$eVr=Y~{d(nan4HdO=wGM=poh^SC<*32 zU&#Cz4UBJGLEW*4IY&UafDFX~Yt>8KoiAY!+jSM725k{lW=<^(4t-%?;haM10C@8u?%&YOX@GpCl$$@gbz_K%|!yDXb8YstgaUY4IZhmPurZ>LR$yy zusSdkyvSUf5xdJUx-gvfMo0!U<1kZ$l74{pjLkHEUwb<1+eazQ*y4VX8n$o4Q5qR_ z%B*IwR+~?0#xE{PkeV+s{I3C5s1T$zuskZFiIdbGFNX3Wa<*#wYw_`44N&4i_My#k z37YlsYeZ+|uy&`2jUph$ z5LhW`h(C5w{q=G6&p>O~9i`Q2p%RBfSnoNp`BqJF-QM8;BaVpw(Fsq(V}L;g{jVeD zb^n|4UEpeVujs#5QUdBZZ)*W<`$X6auf8|>`YaikFL#Q{m4w;#*l)RgfG6|Br)<0_ zonwA4Fb60Je(H2ujDy7{hqeNHqSTyv93B~lb=3c+yR!q8kf-$8W1{XlhMSR)O9Oxv zq6Pri170C1>$*Wc5VWh(eZIE~;npi6?QXboj?))dWlSIc(#sB%W#pSz^Gu!#WTsqo zBEHGHE%NGL^-hj>h6huMmZp^zVcT%Xg2{Xo>3fO$$jI^*VVJ!fUu^zsdoatt?RHkU zej`K#$nXHPzbf1Z#M60^1ni8E#6J@y10MB>F6vzyE(>_hS1hqJRCpryEfJIqb)9&g z$EZ8u6Slb;V*@9hUguFb0d!%gM1Tb6dX~p|UX1h(;M_mYSa?`pKIwFoUXhEfK%X0! z2PI73RyK_ie=4P_~C^e}-s;6@&)KOili;=Lt@avQro<9}S z)VGH)M0(GP%ha>p_8j$P>(%$vN;rck!zPrw0Z6stkyj0JjSt56($Hn_r_t)A*6A1` z%s;y_KKp#uD{$e6<@)Q#kkIlMyp{Xdn|}BiNv(MoWlKdi^Bw;Qr4E@|C#io6cLND= z$Z5Vy<*rPY%jRi3|9i-vV=SPtdm@SrYe~+nHr~W%9lPD5IpF1?F0i=D<3^-5gJZeG z!#wK8aJV0nbJ^}a)Mu=1PgxS*o0uK7t!#Epe6})7dt-VA}do-ejOC18fxa=?8V_dM9uG<#7=WAsQ{>an+%nxm|8n5{Z1vdlsZ zj}PD=-FseKp`O2W7sN~h?#1ZLhL~cviT>LpWxZ;7+Y9Xh@XD(u1cu(W01`*5KRtVG zSDA+Ayjxj7tH#RHv$D+V^*fTXCy`g*&Dj$|YWjIMiYZx%%(sht#IoBYc0W#o#Rcs? z5w)`WCG9@R-AO`*Fm3w)+OIy}8)?rH zx)7se(OzDJz5MF?8UFDg@X2WFDl@+_A!D|_Vt{8r&c7wGs6WH8MRY<6W!wbMTo~`N zv`lUJq%o42aroamkLfEM6m`QG`iJF2kLdR~v|E%*{4etErWPfdIxOO&R3O@~S`he;Sk zb^(1}hm}Wjp1)r!_uw=s+%>!;P%vS^#(6lA;(}|}b~tTJa0!L4!!&h;WNEi(yF@FM z#x*PVzM6ZjOan^?g{!o(BG%9>z8;vO&w$Z*yrC z5{{;-8@^vAXwQ6~248pilJ3Ljdpmm|db(CDQJfh&MJ{78GyQe+_^gn`Vi$y#3yp{K zFtxwk#oo}jO)JFVy;2XHHhcf-X3u|S2;MQa%#Xq4VQWh;W=bH4#@r7z0xRs;xTAL< z;QWLCjQimrLSPMB;N6PRxIL};);hddqv&sxlnRK!)|rX<9L%TV4}8#!zlUc0LSTg% z-v_z)nH-OCc`{+rze55@4YU6pB71-a8MgQfUv^ZE(GgSkLq7&hSUXN#x_T|~4t@Fc zW2(hfk49EMtQX)GI}bDkDqru>>ToVmWOX7=C*s#%Cn;;Ym#2%67G0H7Xf90`TW3Yu zr;N{~TzFtE)ywvj*;IbT#AJ&Mo{1uZ&!e3jb3`YnJP$Mz8k}V}U1&HINIlS~NYEhyvW`@$1~{RkE27kH zWh@~Cc>*Zpze7@%LbN1xt(T!p$!ST-=UmXWRwOB}L-L?wK0|gGi$VDvP&+u~cR&k) zx&pr+9Cr0wROjJ2o}ZVx-?edclLWyf&LZo2MD%*NV!a1L?Jkv?4!53 zsFQyc?4!4tr={(s0InR+=2HNUXj+l}4VZcb{h>zzM=Q_O;jpyArS0Dq6gHM}%N|bfd7Lp^Uvz2Y;W)}!xbRU+ z?_Vko;iIFUkT&1Kw%k=*dZC<^M><=_dBRoxw0ph1cV}-0B?>^?+C{MSbp$g6%w^&} z3MD{z@y&8z9MmM1Mp|lxI8i#owLF`5h%Jh-(BM=E!z&f^rOA>j49|MJg76z*=vFwU z5#~w>i*aSrS?=MKhjEDThAn8O>b9)xQ!f!I$uKAS`?ou_T`njYRwXP39VRteeIGs| z?LQ|G!CuDQ9`aoEKKT2=BU3N+-breXYcWyuV@}cc?YDv>q;w}|ou4C#D?_m~bw3gT&CKvnG1e|8awj}x{%xXzzv(MzQU6TG#KIqH( z3;R?fzc&u6ugiJRe-;L-)|;6dijDt;wo6JH#qD|3P?LX!7C=YF9H-Dipixx&6A<`> z#mluEwzVLfOkLs8cj0z{xF2WF##)Q%!qB$q1T422Hq{dSx3%&u0mmeoY;Nu{o)~ly?rN4xA3H z$}#12Z4os>{{|7>f4J49mRNEs63q|&hcE4!-K#C7&A6^|No$m@c3xeMYqQcMoo|6_ zIp#cL9*lzE?yFgar>joVS524rsM99#S_@x=PU(L7)vH7KdIxcwz3-l|b<><*gE=3o zS6m3Ie}@Ev|3j=(SU;^RX6fRzu4(>QYr137)m5UIK{hnKRMH#}9)m9pWlQp<1kdnNy9>n>fK>JLh-+q=^`dz1hmHaJ!j#4DBr%u&6-h@`ja&Ung_HofV3X7zibhCwb zXaizh{K?y3%SPO)3sY9?;GIIs5$Qw@Vmv zU*8F48|~-&8o9WzSN)R{PIWC;pZS61`_|!mAe8%mO^NED*y2(LoX*2Iv^}sDGqO)V zf#f}Such}8;tCK5;F*1_JFP3dyWG*W)X`m@0Kl_t2KNv&3>+VG@*61HVWe4FXp7y9VE6!m>h{oNCC?wODe z*rRoczIxB8zE3^s{`#E|^Akz{b}o=9z~jR+7tcIA3-OfU3F85s3qa=ryz}wKX@`e$ z@rE_{ArIa#(>~?oWT9(*6H7-@e`aCg%BO0Rssdr~J3&;Jx3?x#yF_`vxO zy1()Fl&g#T0S3(XE`U7q6Mf!FEc|-k5r3?I|1dCuh-NRybGxe?whtoCsDAA4-;s+M zvit9Th78gk0uz8P_EBkRq(o3&qxm)l!{(US(hHKpkrizQ=0a#6wch9>#GZZzWuNon z`v*U$+ef(nz^nm)`z&}~RDemK*4r3LfkhTdz&pd(jklfo0#Gwen?V&6_i$)G`N>br z?2;Kn5T7{8@DK_ZKgSPj1x_s6$CWwt3d#Yho>x5msT3vztt(b>Qh#!B+I&uL#$H*nvqD4mSsINQ3tudM$x8 zr^`NS1*Zhs(3sfB#I6pGBiF*lLZq9(3R8(`diasauFllc&;$e6&L8d$e%KeKFE7rx z-c%jdYtCSv!))pA-7y0u(Pwzp(gR-nV}HQtJo6Lw0{wA^A1_|qz1YIke6(>5u2YMi z#wEtqeCijwYTAti*xFFD!`PEU$`T@QuHR0r9Z$v;GgQbJ{vEoI^5E|wd^%5)0Mkn% z-;2nn9$JfoiaJ%fiA};@f;`pkdQbNwsZ>eVo&Z{J7K|KBkv(>jmy!V6{Bz`SN)!U6 zr69m_=CTA;)OcyjbTxkGj@%@y!x7wZ*2N*sRO9Pgrl|4zcjP3&9gYlo&4DB^fO;1G zE$Sk2e};9e`4eLP9mgCum z=YKR`5^%`X@w^Ec{Hghpa((`MnJE80GQ5T7H9RlkIfUmKJWt_y5)b6;|H6F9DPd8A zJ$MT66yX_{%m2U4mwR@i7JI%7AovCI<)gp_{Xv=c>__-8o*_KRdG0gwCFT5|&6gZz z63+On^54yu3D{+*VmY2wc-G?CfM*LH8P7NHpkMwM=1Z!KS&!p)Kb`}4UZj}+mHCpK zKIkwAe+)=Pa#XVSBwf{XAsyK)rS6&f4u^(oOBT^SvSSzgw`0u`JS|-`$>Lrje#H$U zyTwu*&xW#`+I?BMc{FnFT9i`K)XLlxGc|IqP)S2PqF@b$31|0ij9!{eJ5?U=xgWkC zfo7!DDZa?LA{m!#crt;Mc|&*F!ku#V!qq$qGF&ic!a!P5=~1R3qcWMNiwjpPQg?%+ zoa&&>p5vp6=Q%nXNta{T$YVjY%LR`DqdAKspS72+=jj%=sRM8YfQ!+yFQ|h><_*cV zX2HSw)`?j+F>%}Meq_Ck-`^7kez-VC9lVKLOm1XK9Ici7%5Y0APQ@f}fN`0KfTf1} zUtzxcK*J6wOeOF|$sgPRsf>eiSg^t_Np8y?INGP7dtn)%9xy9Mdc_0C^ng-;tzYF9 z^=+Ig?ZBo76o(xdxT<9?j`wcAY9yHJus858M+z3{*!VIh0dnN+l#xGNdSvtuPP*O) zz*EVhkb?XvaJ&k}=@uoR6F1>%LdKjFZ9&3kYvOuDUu><3C2o7rH~28);SXO()$By? z0Nsd}@UwzPFRrfqgM6&>h+LI$y?Qt6M3}{T|Lm#FI>9oZqnjYGoEa^C0ebpb^#hA8 zg?Od751v=B&EQ@LrQiyFJ;bZ@awt;AJJeF;P($My@+w(8w%~+(Bj(ii7rZ531wV?v zz>f=2d5C%oQE7;J&HQ#lqm40c4sQhFi$AenWAvhSk+COSUE4j0E<}YwwYmFV2kwWs z1Al1={teyf^?a7DrRhbsfCXi>!)8nUsc15{^u*%A83U)THJ%b_w)zn z3+z00q|f}YyWA>1a`RGahbW87mW>``y=!RU!q3tSLra2fb#c?r;4X?=g`gGDF$ejp zZ2nq=o1Q>)m@cE;M>*wC(<637Q-t38;)t|f-#3QWUJ9err&>p8KT^)wOR`= zhWz9M2X2A}#SIoSGd__yYRP_8R|=`#5W zQ0ZzrT`{@tgR}R4cFNgy2Bdxkr%Pax^PtHwd!;2$rlRi7ZU9ZJz238nZb8EB%ExOO zPyp9>@Owa($^U9!1(KG$3S=sdE!o$O{1Lr97hQc>;wliAeHDn(r%f~SarZJ*T!eD$ zTbL0Ni;r`d#XZa9O!^yRID@bZ!~D-qC7Q$$JVV*C$<^w>&#+?fOR^W{lEqx;w0xkR zozgNxJ?q<%Zx7LIv?9jD-#>+{`^axD)^YY3#I;QBIQu-_b}o{0+j0DiGT@JOp#-X_ zwO#4Ltu+(8=oXH9(WP^rIEQ=_8QLJlY@h6z#XW_GWzRBMTBb}1H4mFosQDw)8EPJ} z@|F|#q^qtX_&k1*hu8$)uGWH2Id+Y}vCE;0y|R-DvCne`BwmLpLxSwXO_&2=EV_1F ze0K@=uidda>65Hn0`cch<#(i97cx=?AR)GT}@&8FwNL8+`78Ga>$P9B%b7PlE^_;o4nivDHw* zz0P)(?cHz`pv0eHSbSw9;8Nx6knuRy11dZzaqdn%pW8N#=dtGf%-8{0%|Gf?-_L|{ zKZnuw48gaJ`tYO0pAq{e`m+u(#%u%#qf|^54Y85(>;Ozn+dam&P{HVlI{c*tZlH!G z5tgRnlVQ5&fc^+vnwL4zll$$T_}G zaABaCPWK3^FW@9TE=z{bUK;<)NjR*K@XN;%83(FP!uir}dF6zbFJKjzo>aLAXMQ{m z#T_!P!=%JXFk^{%3B?M^l#$*PIR{Sji6kW@E1o35FL*%~gH02(SQBebG1{LbvpJU} z+>s0HjrnP*J-6Ub52_!FE!U3z0j-s#!eb-=l#khA%s9B?0WS|;&%^o!@gk?4`3cRN z$a5R=a7ln)k@FtuFsvVU;??0Pr}beeZbOq7Qs^4hNR#s`WWJS5b1IuJVG(nHe$07n zzDOVRV_wDPyXb>{%uB+?E0lSggi&6HsdK(SY4oF>cX0oWpHRn+DCsald=$g^9Ncy3 zKa2egD+_&Xk=xP?eq8hl`W5_Vl`@t$_X%<&qu<>qt<^}}HjlJ9d%cKc+5E8VVSjJ? zWqNJ^tH|7M@w2j*3+h4FGG!D!>?Ke+<0n;Lhk}-axd7D?kD=Zvs)hS}s8Vbs;mj)4 zhQBL7>`y*w$&;#2?!z2opH!Xt>65CdB_UB6zWAsr#qqPM811;Sp$9X~=Uy30b{K7= zu{Rf_qKmMVTXbC33QKA&zj_EpmH-AWh8k`FH)l{h*K6B%`EUn%oXqEC2LA~KxZUOv1WM}j$8Q(P#{oU=a*Ml|C)_TSZ{IG2 z>m_mW=q{LH^o3X@+BXYL{;_>a5AN4|3mSy67|UX8QJ7hD=7%mA!l6VunT|hBceSMq z!0(=1dT|aMmtFAt;sFvdxv(dZi#4^Dtm68{7e95s1PF=iQn-I-HU4%aoTlRI-Mjyl zH|2i!!#|{e;T`-w-q=3sI7f@&_Blz#6|U0NMigmafTWVUS{+EimJ+#be~sml;tRzr z4!8QlBW3s#WjIc?F%y^dNnQ0B%_(L^WMhWVMfWMJ)+@2Oi^J^BDtM7fp@i9hP&2-T zEA(;43p(saps-^EfBe`X4s zW`s^J43keE8!4-GL>dU2^FmkUSXb~98=Fsn8*MV{mAHk5&e{_;V`K;E;(b7u#-tpH zx&J+wIXmdWMJ^DTQdoTj^3JtCz$L7C^L|yHS8MzWEF87?gNP-d8A;4tL`>RmYfnXf zb24t%L5s3N#{Qq9d7BEL+(k{hwwgyqrhMj=|IZijeU_ z9HI^ESHW5KQ@-?*xdXU8v6BlvM1PF`6;k}gJ^v!|ly|ESQn&WA(a-wHle@P=-W)c* ziaNLeUZ`5Qaz@2Dzp0R_Aw=T9Q?nllMBN5>pC_*xi&tTwl6s|zxwO?87!@~dorO1U{|+24ZWCYrqXU7_j-qQmQ)@rL$C_9uXSqAg@+5> zSAsuus7QT|YfDG}2$=}Ub(Zz<^&RVDZ}Nb8E`#Bk???=H&_rTIUDHdWBRk&2?(MSf zY2A*lNnPp7mio`Oe2BRRzRmEe3>giNqmi)#>%I+d{O|6ytO+_Tj9^gN2czExVYt05 zWEAn-$*;@pvRzcR;o`CcM%N#w@9WI9Q_vlTE&4ll`>KN1awi~T8x3g-y?^vucqMhJh+a6&mqX7 zyAcc-%{J`l2L847qOTPfeFgZJuJ0reZ5-O86ug%Q_fv2a57OuxT*K?Ip{6BDc2dG( zp63Y)-o%4jC^&aQ_BXyygkcGkj_@yC-$U6_d5I?}`0*puw8ts#`=P;hP@Wk=tppH3shVh)jBjb4x z&$sbBf#+#F&*NzV{GE7G@ObcChG#CG1$b)l&_C<}Mxcg9((&MC`A8ZbiXTJWnW&5Z zgVOPht6(?8zy50j!bv<8h`aSG0iH}(*+G{c|3}6@FYdETMgV3SEl@myGfhZK@rc1- zFqQtzFA_qO$vbC3Xmz?I+*DK)4gnLdm$V*1TGzA=|KPi^Z72Ro$RVT)l7yFp|MV%$ z5w;47um%6GrXs?et;&`yc94J558-{>9}r&0L*WM(!s?HQ!p{W)!Vx^leDn@34$w=O zy*MCTi-+tO%Bd8moiLgG*Kg%LK$%R@W|BxoToOm1|M9%A4=r=-t@Ta!-pFe_agw4y%^m zQC)4v(5FznY{k+EpF-Ikm8Hv8T!>kDW6=!@vNNysDqGk8&6bT``5y1)t()b|>$hya zf1|f)@S699@Eh;hx^d@b`77&X zdU<7P;y7N{mr%J2?Y?K*MgYENYwJCr!-kF9H{UfOZ-Pb}cW&Ewm%MQUr_2Q^LKfUu zbUmk!f*KQf?pC(Qo8_jKjq5jnLU(=b)A_%cE{Q@L*30XGZg%GSzu9_E%iSQrww8Oo z+Oqy`ue`D4?#){-rgIT*&*%2f#;u^>JzMYI`2Vzb{;_doR~pdNb)C#Eg^0G}jO`|><8|%W=?X-4;(5=Wb}}=1Gmc|~7H1o27a`j82WY1t*k7~} zTA`IFr8E?!u!RDF4JuOe!^yh*kQNC_HVe|OS{gpz``+`6V<#K_L4tkvkizn!C=}=e*=QU?LnUo28Q1f<6XXj|tsa;HA>+S8epGkUI zDKNWdD<7{^=PDmC`;gxlF4P^D}!qXYT__-qR6PJ~=0qKg)Bf_M|oJrR#XAdnM*QbOSq^SPR>e?DSp7 zQ{A76d0HE#vv8eGVnaSIJ(_cCsMgYxH_@}lqIIS#rguSQU-g!5QZI*|(uZUEU|YRS z?2*<=^6L|^ehsQV9F6O}llOB|NI~CXab12sy`@5LF7}I}?>GxuK$1mqt^UZz~ zs`Y*|RF6&WfvUb}SIpl4m7dD?$21RpGvyH|?}gceF<9DnA?ZzY2W^35Ys1(*4L#49cZ}!*intLnDn$J4T5&vkcxIM#~HpHFDXrVDP z!fHp|cUZn$t^0clm15bq``4B0jj-%jki2Q#fw0u@)rP&lV~op+%i8-a&ow3z^xJ!6 zQ|qK#Ub&O&*r)5z?)iqlzj~ll^z$=?&@$_i?EdPq-2NpqT;cyMfFa5z~% z|18tKvi^R@T)h@@9SHhuVtjBc(RyHbuzzBIQaaEv)+kR@<_L~m(CVG99zIOBhD#MX z`bqgY>4$Z$=iEEnAXjLv1XC>F*4HssDAoPJqf>rO*L$>_zF7ME zs>Ru|i+wy!UpIL(*t)VtKGgf|Pj4VOV0X#V>MC~k+I;+fykp#NL~|iu<#NiIFWvD* zF`gjSqp~K#{`s13K_o43zf{{>399|JOPt92s0vYfyLfGWOcuhD?v48GQe&N> z`;;G7UPIqsEQXqJ_98RCz|3?vDn#g{ylRVbNj0JoR-Dl&ujDXRe`G`D+4_w7vU@fN znA&TtswwaPas+;FTW;C?%e^`NCykd6XW2JSw)j7Qm!#il*lBnNofE#-D7!vNKl*{d>I! z{rZ+ITWox;P}^Oq?D3C!J9_)PoF56!=B6E>kc=3RGo4upkik2TOMhSi$an9=_4 zsK@s1P^sbt%xdip8}i0K%GOAlQmXiKk#w$9E_;W3kINlbqaqtgC$H-+KB$(9et2i+ zot+O*C!Aw-rz2H%GFYIax-NKSE)4k5)*rRnD=+ToVP|&44;!-TxHz?awXR%Ut&4?~ zy7xGvyk_0fWk>1yi-A?9@9CA@RqA5$FR~u(gYt#SyBau1Z(PK-u?DEJ<^y`Bc9ri1 zVh<^-M6^+@dS$j=J_G9YY^&>0g}Z=zTIRIxa+)${+oG+R?P3Ng?HC%eZM{1`Di1_a zv0ch+>%9*+#(_du!OAXg55aVv9b#9?-u&sXo|-MYfffhdo=@laq;DVWpFGHz9&`^Ta*o;l+{Y>L zF~swaOj3Zh*Ke@M9xSnfQ(ZrH_Q%+MHnyKs5x+hC9M4dMAL1vvyJEqQlV2a_d5*Q5 z|5ftZHz<9wE&r*uJh#QmI@~rd=Reby|7=@cdn@fp7zX#QhP!vCu#vKo_7VT_xoE%g zO{n%OzX_H8QKow~^#A2INDR_$rV&UZkVYVlKpKHG0%-)&2&556BalWQjX)ZK zGy-V^(g>sxNF$I&AdNs8fiwbX1kwnk5lADDMj(wq8iD`s5zxB;i%5o1cIC>KOuRnd^X)hA5`aJf7h?R@!AKapT zf2{vy^j~=DpPqV~{qs{VJ@vCUw*T;xuEs}rq3t6Ve*S`I2QPf=!p_zD-#T}B={2(* z4=0{QDhkD0LGo@E&APnahts=cm^J7zi^j#SRO;kKly`Lso7f58XtGc54 z9*x@2Yh&Vd1HHQ@h_ASde}7!=kIVVEJPK8vv6w#|Q(mcd_8)|*?Q+aN4D~<_=$*F^ z$XE44{wx6bd@QDqKqdbKko-81Kc5EM!EeRoC!zB98KCyQ2&DIiK>qzLko?;~@=XLq z@yP(a&ncRwGy-V^-Uty`XIf8tSZ>|~-2>J7_fWL_kV{gBTNijIcR_2%iRfOo@KQb6gC4Vnw3Dk>tNtYX5DTq(J7`UP z)Tf~Ildet0qT%!_&c{|SZ`9Vu?47hVOr2?0Ls6>HA9*{?U)yS6do|DFJjin`Rithf zJCD9Zqah!MxO2!b2O`_*v*MVHqe{_;tmSL3i%v9NQC~-~Q)Pr^iEU%Wc&=4n?e}sA zl;M{q+Us2(-6Xcs|3$mU`CD@lEx57M%=4Is^Nffht$UNC2Oi#NtQENmxgwgHnW39Q z2izzZDbJzf*-tat9(}Gjy%F1Q?`?~gz8%n-1D^5icG{b9*5upG<8GXvI$tKSA1?dX zI#bMk!kuONk;;QQ;}G>PnVV+Mt?$8x2XY|e?gUw!baJkl77!v>ScnLpWbO3n({_6C zv|ahDFW4Er+S~*I$bxHUuJN~?;eh~T0ZMkQ2_G~;0J4CJb}fJpnjipKfHAw4g%6q_ z09il-c7|_0G(iBefL}|){25H0p<0A26i4`n3^YLivH%9Yzz0nbfGj`>U*Ll#2tXE4 z5ntegCI~twogC+<-7SJI6zz0nbfGofXs=x(;H--Q9h?CA;#yYxc|&PjDVDU|XFP;XHCBolh#NbjzvJr7o8?M5$F* zvdfn*cXoa|PUp^@+pu9-?u6~~wZ&mle{J#QM2@&iySgYP#=|g{BSvAlbvdSl)Y*69 zmO@Htt6KON)z6 zvN*DAUgB8Zb!jnDSR7fFOZ(1 zE3YKxX`m~vt@>A2iKRf(7fG+h9i#TL^|-zhBTw3noyY$TG9QUu{qyZ@OP+`?zNY%> zcdN4G8#Y8IRaaNseVG4S>DrR5V-?8grk)3C>)3nTc7?}8OHi=xbQ>1Xc|9chOVk62 ztvAEp41NjFE;q%0}RUjIHO>?V}uB{jp%izqRgD{wM3)sZ-BkB(wR<^Eqhu z<}(8-cHj2=0OcJUZQ%GG_YmpPMnr43qo9XME9l0J) +// Copyright (c) 2017 Sorgelig +// +// This source file is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published +// by the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This source file is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . + +// TODO: Delay vsync one line + +module scandoubler #(parameter LENGTH, parameter HALF_DEPTH) +( + // system interface + input clk_sys, + input ce_pix, + input ce_pix_actual, + + input hq2x, + + // shifter video interface + input hs_in, + input vs_in, + input line_start, + + input [DWIDTH:0] r_in, + input [DWIDTH:0] g_in, + input [DWIDTH:0] b_in, + input mono, + + // output interface + output reg hs_out, + output vs_out, + output [DWIDTH:0] r_out, + output [DWIDTH:0] g_out, + output [DWIDTH:0] b_out +); + + +localparam DWIDTH = HALF_DEPTH ? 2 : 5; + +assign vs_out = vs_in; + +reg [2:0] phase; +reg [2:0] ce_div; +reg [7:0] pix_len = 0; +wire [7:0] pl = pix_len + 1'b1; + +reg ce_x1, ce_x4; +reg req_line_reset; +wire ls_in = hs_in | line_start; +always @(negedge clk_sys) begin + reg old_ce; + reg [2:0] ce_cnt; + + reg [7:0] pixsz2, pixsz4 = 0; + + old_ce <= ce_pix; + if(~&pix_len) pix_len <= pix_len + 1'd1; + + ce_x4 <= 0; + ce_x1 <= 0; + + // use such odd comparison to place c_x4 evenly if master clock isn't multiple 4. + if((pl == pixsz4) || (pl == pixsz2) || (pl == (pixsz2+pixsz4))) begin + phase <= phase + 1'd1; + ce_x4 <= 1; + end + + if(~old_ce & ce_pix) begin + pixsz2 <= {1'b0, pl[7:1]}; + pixsz4 <= {2'b00, pl[7:2]}; + ce_x1 <= 1; + ce_x4 <= 1; + pix_len <= 0; + phase <= phase + 1'd1; + + ce_cnt <= ce_cnt + 1'd1; + if(ce_pix_actual) begin + phase <= 0; + ce_div <= ce_cnt + 1'd1; + ce_cnt <= 0; + req_line_reset <= 0; + end + + if(ls_in) req_line_reset <= 1; + end +end + +reg ce_sd; +always @(*) begin + case(ce_div) + 2: ce_sd = !phase[0]; + 4: ce_sd = !phase[1:0]; + default: ce_sd <= 1; + endcase +end + +localparam AWIDTH = `BITS_TO_FIT(LENGTH); +Hq2x #(.LENGTH(LENGTH), .HALF_DEPTH(HALF_DEPTH)) Hq2x +( + .clk(clk_sys), + .ce_x4(ce_x4 & ce_sd), + .inputpixel({b_in,g_in,r_in}), + .mono(mono), + .disable_hq2x(~hq2x), + .reset_frame(vs_in), + .reset_line(req_line_reset), + .read_y(sd_line), + .read_x(sd_h_actual), + .outpixel({b_out,g_out,r_out}) +); + +reg [10:0] sd_h_actual; +always @(*) begin + case(ce_div) + 2: sd_h_actual = sd_h[10:1]; + 4: sd_h_actual = sd_h[10:2]; + default: sd_h_actual = sd_h; + endcase +end + +reg [10:0] sd_h; +reg [1:0] sd_line; +always @(posedge clk_sys) begin + + reg [11:0] hs_max,hs_rise,hs_ls; + reg [10:0] hcnt; + reg [11:0] sd_hcnt; + + reg hs, hs2, vs, ls; + + if(ce_x1) begin + hs <= hs_in; + ls <= ls_in; + + if(ls && !ls_in) hs_ls <= {hcnt,1'b1}; + + // falling edge of hsync indicates start of line + if(hs && !hs_in) begin + hs_max <= {hcnt,1'b1}; + hcnt <= 0; + if(ls && !ls_in) hs_ls <= {10'd0,1'b1}; + end else begin + hcnt <= hcnt + 1'd1; + end + + // save position of rising edge + if(!hs && hs_in) hs_rise <= {hcnt,1'b1}; + + vs <= vs_in; + if(vs && ~vs_in) sd_line <= 0; + end + + if(ce_x4) begin + hs2 <= hs_in; + + // output counter synchronous to input and at twice the rate + sd_hcnt <= sd_hcnt + 1'd1; + sd_h <= sd_h + 1'd1; + if(hs2 && !hs_in) sd_hcnt <= hs_max; + if(sd_hcnt == hs_max) sd_hcnt <= 0; + + // replicate horizontal sync at twice the speed + if(sd_hcnt == hs_max) hs_out <= 0; + if(sd_hcnt == hs_rise) hs_out <= 1; + + if(sd_hcnt == hs_ls) sd_h <= 0; + if(sd_hcnt == hs_ls) sd_line <= sd_line + 1'd1; + end +end + +endmodule diff --git a/Sega - SG1000/rtl/sg1000_top.sv b/Sega - SG1000/rtl/sg1000_top.sv index f15cb73e..35989402 100644 --- a/Sega - SG1000/rtl/sg1000_top.sv +++ b/Sega - SG1000/rtl/sg1000_top.sv @@ -1,16 +1,19 @@ module sg1000_top( input RESET_n, -input sys_clk, -input clk_vdp, +input sys_clk,//8 +input clk_vdp,//16 input pause, -input [7:0] Cart_In, -output [7:0] Cart_Out, -output [14:0] Cart_Addr, -output x, -output y, +//input [7:0] Cart_Out, +//output [7:0] Cart_In, +//output [14:0] Cart_Addr, +output [5:0] audio, output vblank, output hblank, -output [7:0] color, +output vga_hs, +output vga_vs, +output [1:0] vga_r, +output [1:0] vga_g, +output [1:0] vga_b, input [7:0] Joy_A, input [7:0] Joy_B ); @@ -18,7 +21,7 @@ input [7:0] Joy_B wire WAIT_n, MREQ_n, M1_n, IORQ_n, RFSH_n, INT_n; wire NMI_n = pause;//go to M1_n and generate CS_PSG_n wire RD_n, WR_n; -wire [7:0]D_in, D_out, RAM_D_out; +wire [7:0]D_in, D_out, RAM_D_out, Cart_ram_Out; wire [15:0]Addr; T80se #( @@ -53,25 +56,24 @@ MRAM ( .address(Addr[9:0]), .clock(sys_clk), .data(D_out), - .wren(WR_n), + .wren(~WR_n), .q(RAM_D_out) ); -assign Cart_Addr = Addr[14:0]; - -spram #( +//assign Cart_Addr = Addr[14:0]; +wire [7:0] Cart_Out, Cart_In; +wire [14:0] Cart_Addr = Addr[14:0]; + +sprom #( + .init_file("roms/32.hex"), .widthad_a(15), .width_a(8)) CART ( - .address(Cart_Addr), + .address(Addr[14:0]), .clock(sys_clk), - .data(Cart_In), - .wren(WR_n), .q(Cart_Out) ); -wire [5:0]audio; - psg PSG ( .clk(sys_clk), .WR_n(WR_n), @@ -80,7 +82,9 @@ psg PSG ( ); wire [7:0]vdp_D_out; - +wire [8:0]x; +wire [7:0]y; +wire [5:0] color; vdp vdp ( .cpu_clk(sys_clk), @@ -89,7 +93,7 @@ vdp vdp ( .WR_n(VDP_WR_n), .IRQ_n(IORQ_n), .A(Addr[7:0]), - .D_in(D_out), + .D_in(VDP_WR_n ? D_out : 8'b00000000), .D_out(vdp_D_out), .x(x), .y(y), @@ -97,6 +101,21 @@ vdp vdp ( .hblank(hblank), .color(color) ); + +vga_video vga_video ( + .clk16(clk_vdp), + .x(x), + .y(y), + .vblank(vblank), + .hblank(hblank), + .color(color), + .hsync(vga_hs), + .vsync(vga_vs), + .red(vga_r), + .green(vga_g), + .blue(vga_b) + ); + wire CS_WRAM_n = (~MREQ_n & Addr[15:14] == "11") ? 1'b0 : 1'b1; @@ -109,8 +128,12 @@ wire VDP_WR_n = (~IORQ_n & Addr[7:6] == "10") | WR_n ? 1'b0 : 1'b1; wire JOY_SEL_n = (~IORQ_n & Addr[7:6] == "11") | RD_n ? 1'b0 : 1'b1; wire KB_SEL_n = (~IORQ_n & Addr[7:6] == "11") ? 1'b0 : 1'b1; -assign D_in = ~CS_WRAM_n ? RAM_D_out : - ~VDP_RD_n ? vdp_D_out : - "00000000"; +assign D_in = CS_WRAM_n ? RAM_D_out : + VDP_RD_n ? vdp_D_out : + EXM1_n ? Cart_Out : + EXM2_n ? Cart_ram_Out : + 8'b00000000; + +endmodule + -endmodule \ No newline at end of file diff --git a/Sega - SG1000/rtl/vga_video.vhd b/Sega - SG1000/rtl/vga_video.vhd new file mode 100644 index 00000000..04e82973 --- /dev/null +++ b/Sega - SG1000/rtl/vga_video.vhd @@ -0,0 +1,93 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:59:35 01/22/2012 +-- Design Name: +-- Module Name: vdp_vga_timing - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity vga_video is + port ( + clk16: in std_logic; + x: out unsigned(8 downto 0); + y: out unsigned(7 downto 0); + vblank: out std_logic; + hblank: out std_logic; + color: in std_logic_vector(5 downto 0); + hsync: out std_logic; + vsync: out std_logic; + red: out std_logic_vector(1 downto 0); + green: out std_logic_vector(1 downto 0); + blue: out std_logic_vector(1 downto 0)); +end vga_video; + +architecture Behavioral of vga_video is + + signal hcount: unsigned (8 downto 0) := (others=>'0'); + signal vcount: unsigned (9 downto 0) := (others=>'0'); + signal visible: boolean; + + signal y9: unsigned (8 downto 0); + +begin + + process (clk16) + begin + if rising_edge(clk16) then + if hcount=507 then + hcount <= (others => '0'); + if vcount=523 then + vcount <= (others=>'0'); + else + vcount <= vcount + 1; + end if; + else + hcount <= hcount + 1; + end if; + end if; + end process; + + x <= hcount-(91+75); + y9 <= vcount(9 downto 1)-(13+27); + y <= y9(7 downto 0); + hblank <= '1' when hcount=0 and vcount(0 downto 0)=0 else '0'; + vblank <= '1' when hcount=0 and vcount=0 else '0'; + + hsync <= '0' when hcount<61 else '1'; + vsync <= '0' when vcount<2 else '1'; + + visible <= vcount>=35 and vcount<35+480 and hcount>=91 and hcount<91+406; + + process (clk16) + variable pixel_n: std_logic_vector(1 downto 0); + begin + if rising_edge(clk16) then + if visible then + red <= color(1 downto 0); + green <= color(3 downto 2); + blue <= color(5 downto 4); + else + red <= (others=>'0'); + green <= (others=>'0'); + blue <= (others=>'0'); + end if; + end if; + end process; + +end Behavioral; + diff --git a/Sega - SG1000/rtl/video_mixer.sv b/Sega - SG1000/rtl/video_mixer.sv new file mode 100644 index 00000000..04cfd4ba --- /dev/null +++ b/Sega - SG1000/rtl/video_mixer.sv @@ -0,0 +1,242 @@ +// +// +// Copyright (c) 2017 Sorgelig +// +// This program is GPL Licensed. See COPYING for the full license. +// +// +//////////////////////////////////////////////////////////////////////////////////////////////////////// + +`timescale 1ns / 1ps + +// +// LINE_LENGTH: Length of display line in pixels +// Usually it's length from HSync to HSync. +// May be less if line_start is used. +// +// HALF_DEPTH: If =1 then color dept is 3 bits per component +// For half depth 6 bits monochrome is available with +// mono signal enabled and color = {G, R} + +module video_mixer +#( + parameter LINE_LENGTH = 768, + parameter HALF_DEPTH = 0, + + parameter OSD_COLOR = 3'd4, + parameter OSD_X_OFFSET = 10'd0, + parameter OSD_Y_OFFSET = 10'd0 +) +( + // master clock + // it should be multiple by (ce_pix*4). + input clk_sys, + + // Pixel clock or clock_enable (both are accepted). + input ce_pix, + + // Some systems have multiple resolutions. + // ce_pix_actual should match ce_pix where every second or fourth pulse is enabled, + // thus half or qurter resolutions can be used without brake video sync while switching resolutions. + // For fixed single resolution (or when video sync stability isn't required) ce_pix_actual = ce_pix. + input ce_pix_actual, + + // OSD SPI interface + input SPI_SCK, + input SPI_SS3, + input SPI_DI, + + // scanlines (00-none 01-25% 10-50% 11-75%) + input [1:0] scanlines, + + // 0 = HVSync 31KHz, 1 = CSync 15KHz + input scandoubler_disable, + + // High quality 2x scaling + input hq2x, + + // YPbPr always uses composite sync + input ypbpr, + + // 0 = 16-240 range. 1 = 0-255 range. (only for YPbPr color space) + input ypbpr_full, + + // color + input [DWIDTH:0] R, + input [DWIDTH:0] G, + input [DWIDTH:0] B, + + // Monochrome mode (for HALF_DEPTH only) + input mono, + + // interlace sync. Positive pulses. + input HSync, + input VSync, + + // Falling of this signal means start of informative part of line. + // It can be horizontal blank signal. + // This signal can be used to reduce amount of required FPGA RAM for HQ2x scan doubler + // If FPGA RAM is not an issue, then simply set it to 0 for whole line processing. + // Keep in mind: due to algo first and last pixels of line should be black to avoid side artefacts. + // Thus, if blank signal is used to reduce the line, make sure to feed at least one black (or paper) pixel + // before first informative pixel. + input line_start, + + // MiST video output signals + output [5:0] VGA_R, + output [5:0] VGA_G, + output [5:0] VGA_B, + output VGA_VS, + output VGA_HS +); + +localparam DWIDTH = HALF_DEPTH ? 2 : 5; + +wire [DWIDTH:0] R_sd; +wire [DWIDTH:0] G_sd; +wire [DWIDTH:0] B_sd; +wire hs_sd, vs_sd; + +scandoubler #(.LENGTH(LINE_LENGTH), .HALF_DEPTH(HALF_DEPTH)) scandoubler +( + .*, + .hs_in(HSync), + .vs_in(VSync), + .r_in(R), + .g_in(G), + .b_in(B), + + .hs_out(hs_sd), + .vs_out(vs_sd), + .r_out(R_sd), + .g_out(G_sd), + .b_out(B_sd) +); + +wire [DWIDTH:0] rt = (scandoubler_disable ? R : R_sd); +wire [DWIDTH:0] gt = (scandoubler_disable ? G : G_sd); +wire [DWIDTH:0] bt = (scandoubler_disable ? B : B_sd); + +generate + if(HALF_DEPTH) begin + wire [5:0] r = mono ? {gt,rt} : {rt,rt}; + wire [5:0] g = mono ? {gt,rt} : {gt,gt}; + wire [5:0] b = mono ? {gt,rt} : {bt,bt}; + end else begin + wire [5:0] r = rt; + wire [5:0] g = gt; + wire [5:0] b = bt; + end +endgenerate + +wire hs = (scandoubler_disable ? HSync : hs_sd); +wire vs = (scandoubler_disable ? VSync : vs_sd); + +reg scanline = 0; +always @(posedge clk_sys) begin + reg old_hs, old_vs; + + old_hs <= hs; + old_vs <= vs; + + if(old_hs && ~hs) scanline <= ~scanline; + if(old_vs && ~vs) scanline <= 0; +end + +wire [5:0] r_out, g_out, b_out; +always @(*) begin + case(scanlines & {scanline, scanline}) + 1: begin // reduce 25% = 1/2 + 1/4 + r_out = {1'b0, r[5:1]} + {2'b00, r[5:2]}; + g_out = {1'b0, g[5:1]} + {2'b00, g[5:2]}; + b_out = {1'b0, b[5:1]} + {2'b00, b[5:2]}; + end + + 2: begin // reduce 50% = 1/2 + r_out = {1'b0, r[5:1]}; + g_out = {1'b0, g[5:1]}; + b_out = {1'b0, b[5:1]}; + end + + 3: begin // reduce 75% = 1/4 + r_out = {2'b00, r[5:2]}; + g_out = {2'b00, g[5:2]}; + b_out = {2'b00, b[5:2]}; + end + + default: begin + r_out = r; + g_out = g; + b_out = b; + end + endcase +end + +wire [5:0] red, green, blue; +osd #(OSD_X_OFFSET, OSD_Y_OFFSET, OSD_COLOR) osd +( + .*, + + .R_in(r_out), + .G_in(g_out), + .B_in(b_out), + .HSync(hs), + .VSync(vs), + + .R_out(red), + .G_out(green), + .B_out(blue) +); + +wire [5:0] yuv_full[225] = '{ + 6'd0, 6'd0, 6'd0, 6'd0, 6'd1, 6'd1, 6'd1, 6'd1, + 6'd2, 6'd2, 6'd2, 6'd3, 6'd3, 6'd3, 6'd3, 6'd4, + 6'd4, 6'd4, 6'd5, 6'd5, 6'd5, 6'd5, 6'd6, 6'd6, + 6'd6, 6'd7, 6'd7, 6'd7, 6'd7, 6'd8, 6'd8, 6'd8, + 6'd9, 6'd9, 6'd9, 6'd9, 6'd10, 6'd10, 6'd10, 6'd11, + 6'd11, 6'd11, 6'd11, 6'd12, 6'd12, 6'd12, 6'd13, 6'd13, + 6'd13, 6'd13, 6'd14, 6'd14, 6'd14, 6'd15, 6'd15, 6'd15, + 6'd15, 6'd16, 6'd16, 6'd16, 6'd17, 6'd17, 6'd17, 6'd17, + 6'd18, 6'd18, 6'd18, 6'd19, 6'd19, 6'd19, 6'd19, 6'd20, + 6'd20, 6'd20, 6'd21, 6'd21, 6'd21, 6'd21, 6'd22, 6'd22, + 6'd22, 6'd23, 6'd23, 6'd23, 6'd23, 6'd24, 6'd24, 6'd24, + 6'd25, 6'd25, 6'd25, 6'd25, 6'd26, 6'd26, 6'd26, 6'd27, + 6'd27, 6'd27, 6'd27, 6'd28, 6'd28, 6'd28, 6'd29, 6'd29, + 6'd29, 6'd29, 6'd30, 6'd30, 6'd30, 6'd31, 6'd31, 6'd31, + 6'd31, 6'd32, 6'd32, 6'd32, 6'd33, 6'd33, 6'd33, 6'd33, + 6'd34, 6'd34, 6'd34, 6'd35, 6'd35, 6'd35, 6'd35, 6'd36, + 6'd36, 6'd36, 6'd36, 6'd37, 6'd37, 6'd37, 6'd38, 6'd38, + 6'd38, 6'd38, 6'd39, 6'd39, 6'd39, 6'd40, 6'd40, 6'd40, + 6'd40, 6'd41, 6'd41, 6'd41, 6'd42, 6'd42, 6'd42, 6'd42, + 6'd43, 6'd43, 6'd43, 6'd44, 6'd44, 6'd44, 6'd44, 6'd45, + 6'd45, 6'd45, 6'd46, 6'd46, 6'd46, 6'd46, 6'd47, 6'd47, + 6'd47, 6'd48, 6'd48, 6'd48, 6'd48, 6'd49, 6'd49, 6'd49, + 6'd50, 6'd50, 6'd50, 6'd50, 6'd51, 6'd51, 6'd51, 6'd52, + 6'd52, 6'd52, 6'd52, 6'd53, 6'd53, 6'd53, 6'd54, 6'd54, + 6'd54, 6'd54, 6'd55, 6'd55, 6'd55, 6'd56, 6'd56, 6'd56, + 6'd56, 6'd57, 6'd57, 6'd57, 6'd58, 6'd58, 6'd58, 6'd58, + 6'd59, 6'd59, 6'd59, 6'd60, 6'd60, 6'd60, 6'd60, 6'd61, + 6'd61, 6'd61, 6'd62, 6'd62, 6'd62, 6'd62, 6'd63, 6'd63, + 6'd63 +}; + +// http://marsee101.blog19.fc2.com/blog-entry-2311.html +// Y = 16 + 0.257*R + 0.504*G + 0.098*B (Y = 0.299*R + 0.587*G + 0.114*B) +// Pb = 128 - 0.148*R - 0.291*G + 0.439*B (Pb = -0.169*R - 0.331*G + 0.500*B) +// Pr = 128 + 0.439*R - 0.368*G - 0.071*B (Pr = 0.500*R - 0.419*G - 0.081*B) + +wire [18:0] y_8 = 19'd04096 + ({red, 8'd0} + {red, 3'd0}) + ({green, 9'd0} + {green, 2'd0}) + ({blue, 6'd0} + {blue, 5'd0} + {blue, 2'd0}); +wire [18:0] pb_8 = 19'd32768 - ({red, 7'd0} + {red, 4'd0} + {red, 3'd0}) - ({green, 8'd0} + {green, 5'd0} + {green, 3'd0}) + ({blue, 8'd0} + {blue, 7'd0} + {blue, 6'd0}); +wire [18:0] pr_8 = 19'd32768 + ({red, 8'd0} + {red, 7'd0} + {red, 6'd0}) - ({green, 8'd0} + {green, 6'd0} + {green, 5'd0} + {green, 4'd0} + {green, 3'd0}) - ({blue, 6'd0} + {blue , 3'd0}); + +wire [7:0] y = ( y_8[17:8] < 16) ? 8'd16 : ( y_8[17:8] > 235) ? 8'd235 : y_8[15:8]; +wire [7:0] pb = (pb_8[17:8] < 16) ? 8'd16 : (pb_8[17:8] > 240) ? 8'd240 : pb_8[15:8]; +wire [7:0] pr = (pr_8[17:8] < 16) ? 8'd16 : (pr_8[17:8] > 240) ? 8'd240 : pr_8[15:8]; + +assign VGA_R = ypbpr ? (ypbpr_full ? yuv_full[pr-8'd16] : pr[7:2]) : red; +assign VGA_G = ypbpr ? (ypbpr_full ? yuv_full[y -8'd16] : y[7:2]) : green; +assign VGA_B = ypbpr ? (ypbpr_full ? yuv_full[pb-8'd16] : pb[7:2]) : blue; +assign VGA_VS = (scandoubler_disable | ypbpr) ? 1'b1 : ~vs_sd; +assign VGA_HS = scandoubler_disable ? ~(HSync ^ VSync) : ypbpr ? ~(hs_sd ^ vs_sd) : ~hs_sd; + +endmodule diff --git a/Sega - SG1000/sg1000.qsf b/Sega - SG1000/sg1000.qsf index 7c9e75b6..35528ca0 100644 --- a/Sega - SG1000/sg1000.qsf +++ b/Sega - SG1000/sg1000.qsf @@ -18,7 +18,7 @@ # # Quartus II 64-Bit # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 20:36:09 September 21, 2018 +# Date created = 20:12:16 September 22, 2018 # # -------------------------------------------------------------------------- # # @@ -36,25 +36,13 @@ # -------------------------------------------------------------------------- # -set_global_assignment -name FAMILY "Cyclone III" -set_global_assignment -name DEVICE EP3C25E144C8 -set_global_assignment -name TOP_LEVEL_ENTITY sg1000_top + +# Project-Wide Assignments +# ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:36:09 SEPTEMBER 21, 2018" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name VHDL_FILE rtl/vdp/vdp_sprites.vhd set_global_assignment -name VHDL_FILE rtl/vdp/vdp_sprite_shifter.vhd set_global_assignment -name VHDL_FILE rtl/vdp/vdp_main.vhd @@ -72,5 +60,108 @@ set_global_assignment -name VHDL_FILE rtl/t80/T80_ALU.vhd set_global_assignment -name VHDL_FILE rtl/t80/T80.vhd set_global_assignment -name VHDL_FILE rtl/spram.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/sg1000_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE rtl/SG1000_MiST.sv set_global_assignment -name VHDL_FILE rtl/dpram.vhd +set_global_assignment -name VHDL_FILE rtl/vga_video.vhd +set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv +set_global_assignment -name VERILOG_FILE rtl/scandoubler.v +set_global_assignment -name VERILOG_FILE rtl/pll.v +set_global_assignment -name VERILOG_FILE rtl/mist_io.v +set_global_assignment -name VERILOG_FILE rtl/osd.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv +set_global_assignment -name VHDL_FILE rtl/dac.vhd + +# Classic Timing Assignments +# ========================== +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + +# Analysis & Synthesis Assignments +# ================================ +set_global_assignment -name FAMILY "Cyclone III" +set_global_assignment -name TOP_LEVEL_ENTITY SG1000_MiST +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 + +# Fitter Assignments +# ================== +set_global_assignment -name DEVICE EP3C25E144C8 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 + +# Power Estimation Assignments +# ============================ +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + +# ------------------------- +# start ENTITY(SG1000_MiST) + + # start DESIGN_PARTITION(Top) + # --------------------------- + + # Incremental Compilation Assignments + # =================================== + + # end DESIGN_PARTITION(Top) + # ------------------------- + +# end ENTITY(SG1000_MiST) +# ----------------------- + +# ------------------------ +# start ENTITY(sg1000_top) +set_location_assignment PIN_7 -to LED +set_location_assignment PIN_144 -to VGA_R[5] +set_location_assignment PIN_143 -to VGA_R[4] +set_location_assignment PIN_142 -to VGA_R[3] +set_location_assignment PIN_141 -to VGA_R[2] +set_location_assignment PIN_137 -to VGA_R[1] +set_location_assignment PIN_135 -to VGA_R[0] +set_location_assignment PIN_133 -to VGA_B[5] +set_location_assignment PIN_132 -to VGA_B[4] +set_location_assignment PIN_125 -to VGA_B[3] +set_location_assignment PIN_121 -to VGA_B[2] +set_location_assignment PIN_120 -to VGA_B[1] +set_location_assignment PIN_115 -to VGA_B[0] +set_location_assignment PIN_114 -to VGA_G[5] +set_location_assignment PIN_113 -to VGA_G[4] +set_location_assignment PIN_112 -to VGA_G[3] +set_location_assignment PIN_111 -to VGA_G[2] +set_location_assignment PIN_110 -to VGA_G[1] +set_location_assignment PIN_106 -to VGA_G[0] +set_location_assignment PIN_136 -to VGA_VS +set_location_assignment PIN_119 -to VGA_HS +set_location_assignment PIN_65 -to AUDIO_L +set_location_assignment PIN_80 -to AUDIO_R +set_location_assignment PIN_105 -to SPI_DO +set_location_assignment PIN_88 -to SPI_DI +set_location_assignment PIN_126 -to SPI_SCK +set_location_assignment PIN_127 -to SPI_SS2 +set_location_assignment PIN_91 -to SPI_SS3 +set_location_assignment PIN_90 -to SPI_SS4 +set_location_assignment PIN_13 -to CONF_DATA0 + +# end ENTITY(sg1000_top) +# ---------------------- +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_54 -to CLOCK_27 +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" +set_global_assignment -name USE_CONFIGURATION_DEVICE OFF +set_global_assignment -name GENERATE_RBF_FILE ON +set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF +set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise +set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise +set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall +set_global_assignment -name VHDL_FILE rtl/sprom.vhd +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Sega - SG1000/sg1000.qws b/Sega - SG1000/sg1000.qws new file mode 100644 index 0000000000000000000000000000000000000000..5beabdd78534332faadddcc426ddf187f28cc28b GIT binary patch literal 3630 zcmeH}yKYlK5Qcv{Ay86LQA7x#2skmmkP8(e+zOBo2_4dr?Hngjf^FI6t_W(Lf)JSTON ztqF&=NL8zYgzT8xgzl5qB`~`OOCb^{IUAg6{@qpNcDXvjl)X658U6kFjF0|Z&1B}& z#}C~s*$gp!h2}3QJ%Bf8szj$q(o@(>2Y{5AHMJ8ovq(kZ)87f2A_*#J9sLJfUL3Q` z8@g?XLT6h{zJ>TdfMsy)8Ca)4WTIyQ)HnDNRsytIj$8ldGJFhfE3S9|}!d^b*0t$^FW62k0kv;-b)1Yt)YG);^1Z4JKpRR-6X%rsfFG#>+ zj1Rl2;?Z>*vOWA#mwla$VqA*Wm%*o-o3ql_m4XA-rX5nF!TrLba&+Y^4;Bszu)^$z_CqDL45m_ zMwdDFSC5oFk5!k38c8GT(Lj+j(jJX0`D@|zoBsAAw}zP~n>D1&;$OQX)VGd>%Sy>avlPmVMX*vDqxrST)St@&&` z_UfzOef8CcUD{dKcmMTI({0XYQ$eB6HZPFXB-W*x4(v7;8K5eU>g|Q-LKdhfnOJG8~fKOJfDJu=M_gIK*G^_ z4dD1^JsM4V>(gy81Ckn}3VX{CEDzuRU(c4@8flt=HpydvM)KG#?EkIsNAM0zc=7?p z#2YG?iE1gp#gEaQKu=iEeSi}hox+P_WJe3q$h}$UsX?FW$_6O+`V`BOYKh!gH4|tA^ z_7KsyHU*iFsq907CA{BxV$J6c0;u<=$nBKkl-khz`g0hak>-@z(%atSIT#5%k)^LWVz9pbT}DP~FAjc_rym|LE=e2_1bk{C=EW_z0Sgz-`-S^mB3AjHc zUsBF#p-N9_!4lM;X3Hl}jL)mopAIe*4qKd(f`5Nbo6bwhg%Y%HzmHzmAh3h|rvFSgjWvOrd_x;&h6+a~V#R z_q#Z~4O#y5HF_+=>CghyccI~(?BLq>Sc!&n%FAh5&y-F!D5od(zWEb+fPY46BU2Wq z9iO=GPU$(gkUa1+wudtdE>3UFqJEr~Q9ENFnCFI**8WSo9ZpYH*(o`Hnl(iE3g`3% z$DfLGC`f`l+bc{GiSH3tMWS*Z2~G_s*(!QaX-6Dl#uY;-jWmd zvsHoQ&x}KH4Us($LjkB0Q+zP8E!- zxPR{D(Y-a5og8udQ`UA7%qiY5zjb+dI=hSI7-xw;9sEU}bIJ*o;B@Kv_X!aqzs#Su zO@u=F(^7j7N_7$WsXu-0p|G3|oz}0+?G`tV`BU0{7>?7WbJ`8{f}2y4O4RrF-E~xw zQ*~!o`Lu1d^W!m);&kZHQ&alO>fA2G1ZX?|X4Dq#{OWx&oDxj;n(b*c?QrU}^J668 zPkG~%avq6eFQ=u`GX9h|PMLaB70ps!PWfY%;S{b*3{UgBiZ?Ili-RORcVJhbsDy(Sp&&!k=zAAj>IDJ8ON|L(RD;{iej6X8t;Tlt$=wscx%7Ybdlo?Xo=i zWXqpcA$<9?P$e~~LU?2o$_#6uhJV^4VMwSKWc$- z%5SF@E+4t0d#j6s<^Z`E%4tP1?z>IV-%vH9ofrU3apJxboX}$ryf@!`?$JR|M;%TB z#(H#FB6E&jy?!M|vks>bMu67g+;=7w9``H6p}9I)q%9A(6*~#;Pw8>b(NI0C@OPh? zXX8*(j8pcNWsOtvM*Qi5&N$65PCG2_m%?d~xX(G&7^iPm$D`DcMSm0d`r+3AHCHuI`z)AH#r@|kd)E${M05&@0-s07QTCdoK`O;UrkpJq?&J;7Rz6gpmta|$hU`E1W=fO*{2ETS}4 z-<@HD;EiS8rIbIXLd$5Kbq$yIPOqwA1D9(pw&A1aHRG4Z*<>r@QjJXnYn)S4@{Kr{ zK)#$c5^IPbZk=Cj0OzzrDpKQ8A{SwWwp8BDkJjdaELehk=dQiMJ6@{Q5u7gL+@K=W zq@W}KQg+FbrthA*XE;bseDg8hA=u_@bPd$5>bJ`q#QAU9d>jSer@e^@G$4k-S z^ORG3?3HUaec!isSytESynD==y0MgHuQE>Y{!7N0)7C6V52t0kj?-V-8m*SYDJFE} zWbOyybpATe8y6c_rx>RgaHe_vf`rIL#XVP}ogti#KgG(Y3D~#_G7>=jjpI*0esQi@ z&3HKt))>+N9DhpVzJVYtr!SPeC+NHr&S`6elvL$7?K-COPB^D4N4A3`r@4olWiimv z*a9k?`m?7wr?RcDj8nWl!w0e{bi9CCYuUrs&q?I6uOtI~MSnp1R%Po&OM#z5Vn z%&l7fgEiOj%>ZpLHxnZ67fd$qq_J~Kh>G!2Ebe1;T$)o@PFCz}E-cvo6vMsvyd0+# z1_kjxK4=fpaVUie&S?{5IVFGUBmB9rxKFCM;W_Yp)QK3)0R(dZhS zQ>{=9dY@4p>-n1CG)682r>(hSAgB2nUt_^jT+K6JS^0GNblJCjDpqHpald0}_!ujn zV)T_*7ehH62>#wC$G}J_y-LHB)4SvF0U^q#$>X>6dMQlY@2LTBX=D{I20P`MTYJ?X zY1}`bfz{}_U>drV2f~v}z4A&lYBybZVXa-M^mqrU>i2xA!bth_48GLzDW5u=l9Fnz z2c?`-jG`^-;=UFP8Dr$#cp3>9gvH=D_S3L9g{l@=!wyf8CFrwV`}K=gJo(ia4ODdg z+&dZL@$si(X|#s`AADIh!MZN%ESSjHb<;zn3 z8u)O)P?)Vj4~;zA!r554;O&J9*f-YC(o!1eZ~B!_4@qcf-OG!FHNw~)+`s+9XYrA4 zjr1F_%7qZFU8<+XWs3Wo^@MAEBx(Ep=UzGS>}Q_iaew8)8XNx@*7VnZ`)9B5eCSs` zvWg-|v=i#5n0uvhf9Kz?^3_g!tw*J`IenA0OEhM+2mbbiUwxl*+9P=3QtkTslQ*jO zvhOXz;fDp%<)#`Md?Ly7fpJEMG5-(iV`90f67aac8ZFo|-$&^RQ{Q)+F{*qo*ZlWA z^h+I;)8w&Ra!nX#m3+>ra#fu26z6nBs{O-buH~i#r`6CJuH~i#r}Nu-aN4tInzR-q zu{ph4?ZIhJ6V-06K{<`MW}u@%I5k5Q9{e;Ir?DKW6Zb2j2Tfsm!|2!^SMy2O&&M>W zCdQ9F(V0S%D69mSKd&I#bxJkNePB+86}UK!I)KZCF?E&(NPS`g9fmOkfU&9YR zjx#y`za2xr#8+KW@WQ=p;#L0NG6L%O^g6vAIiCeLY2 zLGv%za|t;`f~Vb#`2Rd04MeRdoFxjve^7ra3K3z=6ZdI8!cARa=EVK_wJdqqDKtPi z9b~yDoK8H!G^Tz6$JvhTrMTXg63acLtO*ygoVwnZu;xgF<<#}Q$eg;~ zr?4e6T>ey+Q}_Guo+eF8{VDT>isbxCH_w>+Vsd%Hog%*!K2~yeP40_{2A&-a!>JRV zAk9~YqWvC73&UyADSUO7Ordf7PP!9;Q}T?MFD9J+aj6QCI0c3KV#=vXwIgr}%3(x( zaU4$B{f)^f^Qi3O>+oO|qH@ZL4}?>`yh$`pnJ=bse^jFrHjTX^TmYIN~pN+<@9l$kC1V{i&LiY!Qu28*03DUpH6<0y%x=% z3SanXeggTW@UfD!YjR&q+oi(AeXl>A9b+1crD|kO*O(6iILrK4G)|c>CY&O69F0@v zi{r$7$|=2u4-ltu{Ar!0C7iNripVMR#pLpCMq~5@@=M`kC1=;F0+p zpL#e=(p=H3typnCjz9f?#r+Z1uoI0_BMH2G$~wKHamsu# zxjfN!iu_XeSjpKnxi6-30%FAdw$RANi2IA&kAWH^?lWH;Gwvh$@BdlczbyU~vDYGT z%Hs>ENAUru$T!J)D>=I+_rEU+Kf!%*Xbs=E zAJY6d4XfeDX;_VZoXVQ7KMkwl^{0quM;P)?(``jpL;PA}rW~ms$XkzMG13-Qc)V=#_&h&H%+x+ z0k80bsQsoH9h@Hyb2_2+?$`L>bS?Jsv8nvitg4Cb5TVbubPiMZ zU}+sxZ9zGoS$8dWoO@(*u?2Kym+>d-oQUX#~Nss*UBkg3nTSMLw3D~H^#r646 zcS>t``%UfB7b}@9($kB&`b{mPD_1g)B5h`3v_coDjq|U0KBx5n&C z|9sJ>HstG`^$rKMTVqFY*LK;_Xqon^;-`TX`LSdv{i=%JJv9}{MJIr9y4kOM+DXWF zu={YzteukY=(i@ccWa#Te=dEkTccQ<4m~_(9b;>EoQj(d?XM62M%dAcvC4EkH0qZ@ zBOhV>1s{BYRu3j34MBA@_N~IC7^+yP+$sHB>~YfFFQjLs`SAGDC-%Xij&xYD%{&K~ zPIhJ*6Q({MVdQuRBc0Ba5ZAJBWdu;#fULO*#0I`}C;vrkYe`dMi)O#I=LEmJDw6xx zeAXIbzG**e)N1$lsn2y}nujug-2QYWKl^3XeW^nd8Y!j1miHDKSktN!sk}yqpL+bM zH}jjJ9JJ;`y}W8YwPF|4{juUS$Hfp>!E1C_RkJ3%T$?%=pl zm2OBasjm;LGOAK057Kjd%2cW#J$k%=ti2R8pQh!cy{gD!PIh5wQI(>ccJL@dU=yaK z527B4x-8$a*1}JLULb|^$0mp6Qo5}D#^`J8w?0yA@)*9xAp6ayp4aG_5=d+UjQ;jD za1Cmm-OXo%6Lp!E6OCn5qf$xFixQ}kUWPg=A_Z%xpA8G+23@4_WC8qtWALB5|9-6$dM4844(_)V@AbJ zDy7WyTn(YWaZs5*bxj@%XKBN#?<8YWyrd4EB7hzH_CSneD89Z^#NY+|*qJP|1 z|J2>KRkF0l%-8VAl$h2PTTZzQjm}vSItX9vyF!7H zg8aW76y;NcwZb1ca)glg=ZzwPb#|7-{r&q-_l1;BKB?XR;QI1u3hz~S>der_4ercmpu{fohmFqjV@0bT~JCKRK-oFl0 zM!KG`KR-o^m%L{B3u`kw^#EkFfWnZQ(?r7Z!B^Xxz$t=szNw2-CG`$6Hh!e3&pvUG zWPxsqrbOeVhtosOnr0CjBY1O^JPo!f z%J|l9PK~QTZkZhsoZdbOX1vEJ?c^5*zc z0-Uun53N02eCl~5BjDptqnO1h^q904Shgg|^7Ef(F`H;YxOy?ni99Ecy5!rRDfA>s6w>00000NkvXXu0mjfO#2a& literal 0 HcmV?d00001