From faba6c8ed41b692b53221f7ffa82ea6851f12f93 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Wed, 3 Oct 2018 00:11:55 +0200 Subject: [PATCH] Rom Update --- .../DottoriKun_MiST/Release/dottorilog.rbf | Bin 244581 -> 0 bytes .../DottoriKun_MiST/rtl/dottori.hex | 257 ----- .../DottoriKun_MiST/rtl/pll.qip | 4 - .../DottoriKun_MiST/DottoriKun.qpf} | 13 +- .../DottoriKun_MiST/DottoriKun.qsf} | 43 +- .../DottoriKun_MiST/LICENSE.txt | 0 .../DottoriKun_MiST/README.md | 2 + .../DottoriKun_MiST/Release/DottoriKun.rbf | Bin 0 -> 358660 bytes .../DottoriKun_MiST/clean.bat | 0 .../DottoriKun_MiST/rtl/DottoriKun_MiST.sv} | 6 +- .../DottoriKun_MiST/rtl/RAM.v | 0 .../DottoriKun_MiST/rtl/ROM1.v} | 54 +- .../DottoriKun_MiST/rtl/ROM2.v | 164 +++ .../DottoriKun_MiST/rtl/ROM3.v | 164 +++ .../DottoriKun_MiST/rtl/build_id.sv | 0 .../DottoriKun_MiST/rtl/build_id.tcl | 0 .../DottoriKun_MiST/rtl/dottori.v | 22 +- .../DottoriKun_MiST/rtl/hq2x.sv | 0 .../DottoriKun_MiST/rtl/keyboard.sv | 0 .../DottoriKun_MiST/rtl/mist_io.v | 0 .../DottoriKun_MiST/rtl/osd.v | 0 .../DottoriKun_MiST/rtl/pll.v | 0 .../DottoriKun_MiST/rtl/roms/Dottori1.hex | 1025 +++++++++++++++++ .../DottoriKun_MiST/rtl/roms/Dottori2.hex | 1025 +++++++++++++++++ .../DottoriKun_MiST/rtl/roms/dottoriM.hex | 1025 +++++++++++++++++ .../DottoriKun_MiST/rtl/scandoubler.v | 0 .../DottoriKun_MiST/rtl/tv80/cpu_z80.v | 0 .../DottoriKun_MiST/rtl/tv80/tv80_alu.v | 0 .../DottoriKun_MiST/rtl/tv80/tv80_core.v | 0 .../DottoriKun_MiST/rtl/tv80/tv80_mcode.v | 0 .../DottoriKun_MiST/rtl/tv80/tv80_reg.v | 0 .../DottoriKun_MiST/rtl/video_mixer.sv | 0 32 files changed, 3485 insertions(+), 319 deletions(-) delete mode 100644 Arcade_MiST/Atari-Hardware/DottoriKun_MiST/Release/dottorilog.rbf delete mode 100644 Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.hex delete mode 100644 Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.qip rename Arcade_MiST/{Atari-Hardware/DottoriKun_MiST/dottorilog.qpf => Unknown-Hardware/DottoriKun_MiST/DottoriKun.qpf} (79%) rename Arcade_MiST/{Atari-Hardware/DottoriKun_MiST/dottorilog.qsf => Unknown-Hardware/DottoriKun_MiST/DottoriKun.qsf} (96%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/LICENSE.txt (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/README.md (93%) create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/Release/DottoriKun.rbf rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/clean.bat (100%) rename Arcade_MiST/{Atari-Hardware/DottoriKun_MiST/rtl/DottoriLog_mist.sv => Unknown-Hardware/DottoriKun_MiST/rtl/DottoriKun_MiST.sv} (96%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/RAM.v (100%) rename Arcade_MiST/{Atari-Hardware/DottoriKun_MiST/rtl/ROM.v => Unknown-Hardware/DottoriKun_MiST/rtl/ROM1.v} (78%) create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM2.v create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM3.v rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/build_id.sv (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/build_id.tcl (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/dottori.v (91%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/hq2x.sv (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/keyboard.sv (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/mist_io.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/osd.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/pll.v (100%) create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori1.hex create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori2.hex create mode 100644 Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/dottoriM.hex rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/scandoubler.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/tv80/cpu_z80.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/tv80/tv80_alu.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/tv80/tv80_core.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/tv80/tv80_mcode.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/tv80/tv80_reg.v (100%) rename Arcade_MiST/{Atari-Hardware => Unknown-Hardware}/DottoriKun_MiST/rtl/video_mixer.sv (100%) diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/Release/dottorilog.rbf b/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/Release/dottorilog.rbf deleted file mode 100644 index 138f86a8b191171753f2fc1f947b8042d2f5cad9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 244581 zcmeFa51bswb>CUtJA_9vEbTN$oA!!5Hi9+9gHz54a5-j`lFKOOj!g8uV= zJM)A8_JhS2|Lc!__@f{G=?`A~uYdZdKX~a!T>bFHAO7%%KY;%JqvtQ^FZ^8vCD8Jt zoL{2XCV|otEq}U~_Ii#|UKv_`Twcey=e@e*8KD@OfO_e2j37xnmn3~TEx#}22sM|e zS8unLmA`VYSD&2AU3pB(-U#*XN%_m`>zyx8Uw$t4d-cmv_>uC3q>k>{%HLjny*%=q zl+#NWUY4Ktcsn=!+&n95S$VY@FTHYmIeW6~jltEP>E)GU?|vIvp58l`a)eg9FX@tR zwWIL0{27st0m*-r0oSXOWLw7=w-s9=qJpI1z^>MFUsaL3X zEl26M9(C_t_*e2T&($lhE2ZvlFHMfiWg~qi&x+3GP>;uR$A#Qqi?%P(avlQ6Sc58% z+?rmZUN(8=QRp5>ZV5l9E|46^s=Wja$n>yxJ1k4A?ZRrImkWXWBF0! zAZc=xbRjvPg(O`_(t3RK>g$bzUVFPWz5FZB2nkzNsQ0|&5Z-%zbs7pGxxWDQ^7W3A z)}vmUZPrA+;t8ehL%)e#X)!w5?v6In<5Y4 zUt}qLA|(AP*CG=+3d#B2CF-Vh)1F=;xpfX3yH-@bbnzDG`;hRl{QfU5k)#U`!jp`H zUf39HneY>(%jUj(eax zAmK;)U37nWz2}}^u|&OeX~WM#n;^L*;*x9853y}R%g?(h6BGaM67}v${gcb6zC;?5 zQ@JJQJ^IoT$#o5q;{%Y$VL2_Ajif&Z_3n#&?uB~Sa+EPBB>YI93oRSErtl*DB=yPh zlaR0?dEN@iv!VyWOOMW{7i;yA)W4jhKA|p0-QR9X_xE2fkzDoUB_bBydeoEo@}tOq zImx}{*UNd3bLschc<80coFeu0coW_FQ>e#-9Oe2#bgn&FK33$sSKnI4UVXj$!n?Gs zmoDNG9weushYj;C(6rJqR3ri%|a@tGp z9dCtX&X)3eX)Pl562nbX3NX)F;P>A>mEVdn9RM zlX|py6%yG=T|N30NbE)LTIQo3Z>t?2hYmrapK@R3r(T+*e*=;-MaE-GbRBg0IV5R4 zl3T)qknkb?fbc2P$9+pA^N!3jJ(7F9b2W0VKzpA>l=iLO%`3vB#hAEdFDU50S5& z_r^v~7;-K4OOE0XiA@udvHp{g_`1Ib$vEth_|t3Axi2Er2S(^{Fa@N+!tR>WGQLlqxHs`&~l!nZXp@3a+G^=l=6i{ zHbQbPzM~wap3g6loPQ3IqxjYTJ0!<{2mKRB&UVUt%+kLvlR0M85<5( z6Isc5?in}p>2DoBn$1&OW*2_JHl@hnHN6OtxJxfYUh zN$ZiM_2wDjN7^X<^T0Cd^-nM9?a;fRUb^(#hagE4lKD%n#b${gEhOhZ2X(Kyzsv2f z^qthdoO<;MPd)0T$x-SNl5^on+VQ)P=v9y8`doVA9C{L3p0u0?ITzjQ)h|b>Pe{(i zhKYWOZ53N1B;)=+K$6xYxxNsIjFyv(Ss9x>lKNI7-Xr`_#@_OK;!6mvmL}=nS|T}L z4=pe6()lF`T#~>g3A|bfi2W0Lx*~tFDm1?$%d(5=vU558#xED%-LlkZ+4(w8A3wBl zolmTteerMXKIdk$@aN7+e07(LKU`tkU)@7%<@)$LE?eu?y3=3T%5@j<@&o;6%myD8 zsb3kTCWlHv;1UnVydL zE1rjfSVe&wWl0o@;*l1Rt(%!sQmeqVHl$@&b)5zgiI}RJ%PW-*P zL($Q8%dDA-hu-`3w@?OE#~f5xk$Su1%z@pK-09IERf>Ep6)7hZfkB5u`IU#;PKk#z z4}qz`P0VNh&5z5izR*RkYJF*=QvDwTml%8X61b#euU=N&oXaIv7=!f|usr|b19QtS zU*Gwqk+fd8~ZJX}aFBI>2tUS@}6^lhkMOS^*)TJv+YIDAj z7p1NH0ribP?pr^r<&*sR8uzO1G0yte(SP-yzN$K3Ri=yF0H7HP#gJvBQcG$fYu4A3 zxcIMrAfjPK+>`}!v5;HR#MhhnjnBVbCXF|u=*!=3y=Uy5Ud?^7{&1kR{Ej@5-{wCY zxQ|SK+<#!m`q>w!^#S+EJAVDqIg7l1^Xl5SgBS0TXU;k5P5Et&i}rDC3h#R2#k<0B zeUcW=d)nm*8 z)BU!G%Zh$Ir(iZ;e#a&6YJDQSn5}-_=E>^fv%kGQv79gW#VoS(@x88l7wH&ReRIZ| zxDM)xp}yLS{wQpLJwN{NK))uErcrtC{er6Z&AVRH9bf#VPp(VL&Xal2c<_V$b>YPy z>j%BL7V&R{2a9t|N4!qwebvYD=w%SDdhc~6ZhqboSG(}2-|1=b!ME1MH+^Td{x8>Sqgg{9=7vKLPJ69PXuycm7F#ES!wO#lQUd z*D@G+QMn2&KK!MmAI741A36B^AM9AAj`Jt22L5;7ZoYHu{7(P{%d@Wjh{vWJ$YyIheCgNXW^Z(aY_HUTKi4dyw>(gpatXKP2E8}b$ z3fnKQN2$I5B}=T)%KqutYn)2XN@>LjBI}pqtyF>Ftm!TH%^!brpxtk(Rs8F8R#$!e z&n4X5Jod;Xzq^lqcfa3S_QmfmEO7VT;0j~>+AsbrUA48iE*$9x5AQ-hzx?h&qw=G_i2&Zbj(5{oJaFVv)Y=+@V2$J@6Y%E_@RsJhK}=r?H0i6i(67e>dN)X@l7MK zzUixA`u*~{FQMJCd$Dg*{F`bGzbah)IuqYmv>V&>I{91m@#>d9J8;SA?w`|Lz3nI8 za&cPA!6(0T@d3fbjC;vZUa#YENn)=-VwYyh^$G1_RZ{B z-KiJzjJ)o~to6W~22@*10P9Y_B(e31?2^PTNo+L@=fz8;8!jF^T$v>xMMvM8x$e0O}G8q^%S;tIdbQsRR11h{lcc+TwfkIe}})? zyZtg4OMTh5w-&138*wgP&XT^p=)Cm#K-9T!9epXpxMYp&GPEL@?be%<7?jO zYkcJS?|*kKM%JI+M()8Pgp8D$#2hV07v7fsB;b1bmJNUbN!~6QD~Qq@W*uIuK|5B9PhS< z>zj`MMBj#5KfV?#e{Hu*w|%kS+thm{zow&m_NgcS&HBKk^F~*^>*GImw&2%$a?!d1 z>tU=}x_}rS99>oXFZa)~=P&qj=D{zX)wgAjy|&Z!wDN*AT$)cWD57&R^jl)*6#v>U zFEO@W*&(sMPD*`yyDD3W`t63V_IEM6&i-wO-nL%Jt(m{y#>v6m><_(J{#wAAF7P_M z<<+x($Ti;3!tZ+HmKGoS?!MqZ@kc7Ju?xrC8~s?}r+>J0Pw~es_qXmT{<`H5emZ~N za)0_o`8zutiob7p;>Axc{e4S0USoIta&Pp-uA~0vu#j-PSZ?5#cUu#VpUMAS|AyK{ z{znt~V^99VV%~!*OzxrI{fXBjuf7(xf{}jDG%E^!Jr@@>V}0{?-Yj_6>(PXj&-U3r zzj)1c-*l`WK+oAeU|1$AEZf;Tui@m0FB{EE0J>PZes%VnXa5O`a|uCb%v}irw;&4& z{xzTfjDN{PU$)?QgFW^yQ>WL;hu1yt=c$s1oZdyw%sjR`kn7Zj4s&`r0r0 ztz?&$w(IJPi&@F~2bT4F<#h3Kmh=rRlQkWS{8cx3z1FDGH~H)AH_!JK1R;83qBj5h zzR#|HZ^pb7aQbrm>>C_#+V?NVw_okV8eJ%3vZvBpIdS3mGzU- z<@%MkRy(?})GBwDWs=jy(|>$U3fdQymOa?x zZ|V4o+$$44bn_}K;v&kIp?l#{7yr8(&U*4oJPk(GQV^U~7S-gk z%VV`#rSO9PbC_6p*?jWv^ejP7;)B(Qv9P2g&X_XyigQcDKt$r`L|d-Acu3G zVC1ZlTD+E4HXVfmF5~584wVvheYx~MZ7T3OP7t_rLQAn3;qBqO+6TU@27m7sT?5SmeCIWhJN%mUg`Go*PweRYsr6 zN{1`j+j08d<_R4x=9O9Va5xqp@vppWAx{^rVB)P!zw+(y=@t2&*?YoQKfg7v&K%vN zChYRHl~eOl+%@@oV)c`5IGInprFyk4-DH#R%Jt{Fs;JhG;ub%9y|NCvNA7xVE;rw4 zk7QHc%uhy7>N{dePpvYgPp3!6hsqPN|D^R?a9^W&+^tM}U7zyPf|K^n;Do-h`iyh0&Q|@;-ICHa>pj7yywPkwR5_7f70zUpW7f2ODt=4#3&M9AmOlsIvqwW~VKyi4 z@YC^_U$=Kpd`!1X?;Jv8?bFrj)pOP0lkf1;c>hi92%+W1raY}&`P}ZddHw0iLjF_P z@N-{UOUEy$0F0Ig2b|H&s_vy!iN!k~vqB zleFO6N?Jxx0SO|0X)qMPWQe$uHkf>fq%?aZ;$^EETUDZ4?D2A`s4tu1f|O z&<=v>Jzj9eaOOz4%W3i1gT>9|X-ns{uqzHJhD^w)D6somWRgb{x)2HrA#jqIiw8ej zBz47kxGIWJ150+?W0rHw)fflu4Qyj2Tngj+?peK;5@=1I7mYz?rier7@;e9LK%RCkI1-R%q(dwHKcHOWh~CqHqkyDn)d8 zLdQrELKIU!TwU;zqTp^yw{R`>6?xDqCr7=UlIC9+P8RZ0>OYMw-9B7(%pqBZ$mrLS zn3xuyrwc3W8V*i zK`QEvS`p4+X#qD1Dy*I;d*YZo(MpfG5r)if*uy71wNO>VC%2Nl?xVysg?`YXXVC`QgB-N) z6dZ_U3CHzlDNOFlJo+V!lWfkLifi*;I2FfwDk+6iwfs5jh2Q?LGXRG=(K^dcRBhjX zzcrk>Cp{RmhP?aT+A;U~d_<4HUdPgr9`#OCty;Q2uxn|3>#%kw!^ZXbNesM3Gj-rc z2_tPnwBN}XZS;?D3x}MA&b$|6I~d1Fo|}4HXWppJO0kZe(K&Q!KKzyc;jP1EzvddW zuOZ@3=Fs)k{%JEA8A!+|nY$10Oz;1}TqBF#RqsT_;~Fc%oKQ+eH^6CeEotL*H<|Zz z@^EnGZ+xax$(8N2GDFus5WFwkR2d%os5h^NCZij?t9B0?H-&T8$r8O;Iykbax_u)b zde|LVwQP4ZJXd+gN4;ArjcEJ4-em1~!Sk;A#o_@yR=y@zk)AQPe?iy6Xnw@EgPJL8 z`$UHCZ|L|dwP23w-g)DH)uLNJA74s3u8MpHOm>Y(x{()j$O>g<3UB+`i%Prl^1WxJ3` z^XACrpNifW+Sa1ybt7X=>D7KkunBJ&`C2d8t ziAgtd(tz$m2qR)Eg}7bI%z_m(mF!FZ#KORVh=N@FBy-Xkv|P(o2dxNS`n-I&0qN;L zS*-NDxe5}d>(%fFA~n3Fnq(Eh6C8^!%9*pYwH-TBXb6fhNB3z_i=~z#ed!&kH4}Xh zxr^EfE8}@dctANM*9-rup%f08>Rev45dN-cd&$~P4{S%WB984*$>*AyZjMlc?OrNB z$0}UF`tIi)v%f=p0ag#vTGqvOCIhxI!B-@2NmZ`B<}&e)a1mUJ#dt9^iq)gq$+Z=5 zuc*RtDW2O>D)mS*#Aqmv#ZTym$`2DyQxio~N33M!pkDgIMm#o(J-d=i25h(yqabDU zl3y;1vCVC1_7eYbw;J+tXDQ!a6fKqgV zNlP+BO(m_X@7rW?n|tyC@GN?pf_^90>A zc-&Q+8}{Y~T7|B(4Ejf&R3KP$G=7OL5;pRA<(mG6r%6hY($9ndT zFTKT{z|-AnG)pX6tOzY)a&t_ymQysX_>ZcJwxY*#Rtp{Fvg6vD1w63SsGrF$N$jd- z&QP^nXO*(r93y01+F`d**buoQJM+C~-X_Wio6v%XSQev zIKZq2uE{?`r-QyJ!sFFeZfm}2@}Xsx25NBKV=iOMSN32#D61KBw!$zdIxcbD{i$-Swwddq zZRsqfnkXY;E{W7+{qEp!I`{eu?&4$rWU#a^ps=B84wDVqMs~p;7=dJE8Cr-+er8xg z_=Dv{#-*8~q$25JfU3c`taB3myyNL{Gb{uiFZkgsVn`Lk{#4xj?y(K%0lF@QB|#3X zB%Ot5G*UE_GZ{GG0;JV-a?+mxzA;*++)rrDj63J5X4}Q&Fxm`X;hH37v&<+fs+&4I z6~ZfhDqW~FL-3{Fdn-%Y1+U4v0P%Qc#663LrCD$e;+F6$jTPJARQwcSp(90)OYz7n zo>2QknRq8N0 zDV{_uh_H|@*ysqkG?FEmp^QQoJ*7hIWr+Fb0bTmgoB;-S*h652UJI})1|-$Uj^%+s zE6g*fOlmCHHkNU-pHesWTSgMfM_17%BOfhm$Ak+s9>YkU7@J)PjYL|k)O-Kh22JNQ zOFGc#dScL9S$53jG2~W=Sos0XQ_|6Ru9#D8&tzhiY(Du&uck~Rc>@%#XcK}s9Fvc7u($)j#tHHf7>9N_X2dzoE3jT}9f9Lv zJpx0sSq9o^Z30|sw(oZbPm9W;H}YD!mginpE%PK&$XMKjG&V7(n(>QIe`)aH>d~#E z`Ln@fI5rth=yb%itXlA}mwsxi-|&Y8L@*mnNxs!_QQMvRr1yTSfn*w5*Y$$mdNw_3 zH>~TAy9Zr+W`E|4%vpYYcrqKE${KaB279PsxAwP2@AK>aCcjn3FzaR;$Tb~|8-DET zTm8{_KB<^b=BV+GugBPfYvOUPX3W++@ck*g)2V~r%&Dm>Uby+c2!OS7a!gIYFo2+w z-fzLU4^ZgdZ#Cf8)oDvusMj5Mow&|x)E#43A9L%vo*%TXZ$F#X{n`7i^!j#-N5By$ zGe4ivn0j5e()6G^leV_lGbbC@*sVtd>1a)6{FscUsk_Fruf=oWWX-!JpYgn?Jr|B= z$S8j<&;BU$^qhxylkDMmGHc~eJ-Y#m!Ur*{xelv&0d4HWIgifb8$Fz(z&fH}IXW_A zw|VmHj{qhu!ymQFlBr0)JDu)k(c#{4 z)NVf<-0`FGSnvhC?Q+2_Zm34v20$hn9ldR=9_g#bY_;vWd?B}njoMLvI5@7ina=%s zXW*q3H%tfgwv$%)VEtd%fp0!OV_Y+D9jxTFhZ-q48$;z`t8Hx475CXnI>w{_*|v80 z59w0QlJmFPIe5qP-Ztal5To*Ej{5i5$v4}3(gV*`^F8eYbGJ_45tpx;O!M*ljy(Qu z_=)hl`FxZ%+s|cYT>sv;25i=qMn^XzZ-CKIo4Z_bt+ov)S&0LZB>Gr|6?Aj{@?jY_ z+^o%AH)F7j(+vb!u~_Wp49ePEomG1>H*%2d?ohdw?P<#*xjkL>o8?2Si$gu_dwbgX z_>kvk#|^NqbGYigxU3W5TD){2#07WjEwp721ekeJf2yq@PD4Qeq8|8wz;fT7K0WKR5X2`MD<5v;iP220vh$D~+!xZ$$I5gwcviU? z!!q3G6zvR^uPo)$<>u3;H`ccAa_<>5)E(l!@z933;q>x~y|{5*k2m@}@- zL;JYbJk6|0<*Z)9oBr8lr_fjIERYxQhcr|m4&ZlY8eYGEjz}j2KH$g*s~!N5$uxB) z(|hucH7F*OPS|26&*H{6DB;7YJd<8IZ{fh8!{tER!&=D#v4$s8XIk1A)mYjd@tgy!?tKD{F0ycY~w z9AV6*49L}J@ee;OP;oJ~MJ;jiBkTf32uz>}PKIeKNaMnpYOpOX+P=)eqhX=!H`{ev z!UGR8kj2q$l@9w@37jrJ$#Ro3M^n4AC;d17QK^7x@DSx)tzkJqj!%PmmWse53I@?$ zs%8LMm>mnRjuOZ*=!fM1fym%teWOE_BbB6t;mXNfJfdb^xbNfAl))0_Odg|N46l^W z4|$|49>bB;swkK1CjPKJ=zFrZX_owbnWV+Osr_1B!mTKZ#cUf^MswoE@wxs>`DzN6LS zRlIwo#hN5HcmoZ?-duR?`+iIV6r__Zto--8LB^^<+xB42OiyM!OIZnEn8hQ`DeEnv8pS`b@rfI(8KD*hl56Ambd#Ie}JM8jc{N3!xRD3wL$4#)MR!fg|MWN|U zAh1q2_7isP+o_cksU{ym-e%#~MpsmQU#6So zhOEqHTwb7qYuL@>VN0i0qtO_5v$5mwT%pfFiZ;}?_&(su*HHASWG>v-IvgiQeLV?y zjJsp<|A&6%Cuk0xco_U#h-oZ9)m#}Gr~)fax0qG2+pL8d6}pw77~B>G7O@%P9C5RT zsGYe&L8pKTa;!dcbMY1MLmvPS7!K!MtLbGUo~tWNKZ@6yD3XhQ^ExweQyQB{UEC7f zdnTjPW$?lnD>Kjo-@)Uz4!A}tGrp5CAR4*fk+;|kR`NKdrhmT&Ka6 zNQrxnnUolR1aW*}LXFb;W@)Gd0N|jXD2-z_J&I@bBv=GShN2s)mIC66?}2qnv@7En z&;oHLDSn4cx2Z~<>7Y&ZmNj%Ah@Q}_=rNUrt?I|VW&~L)EMv6Bw#fLCwKHZQIazhw z%S$#60nGuJ)2ym%se!8iFw${(iAzPa-Z6B8JicZXNcCx+GfRpc5kM&h6%Y+qdu!>t zQ@6ChsCVA21LMng52%jYaR;Ne*%&-+Thz>`HKe7QB^W&>_0W`h0@i}Kq-ms3HqBF3 z2s(z&%BZH&RL#=bq!hD~BuWK6W(c#EcW}TM>jPHqJoR@5c*A}epp?f|u*t^)A`K}` zwr$vA?(C(O3eO`FX zUx)?q?LwqBC=AjeBPwUG20x7Sa~V=R7vk}{rLe9x`+9Nab3_)UK#?66#f>I1#+t%* z(ChLvgIa#Lc0|BIJLuHFmkF2>XGm3tgOuZBtG)QY zezN1U;sxjqRBFv0wTW34H<=7FRZ64yzo=4b)d`~*)qxsRhhY~3>udq)wk%ktlVYL~ zyb^H&aE4W$>;xLBxpN`nq}a#*VOa%&8KpTTr!wvFw5$V|!0Z$qvgkgE34#GvMj1!i zDAyurCpYW|eX#BiXV@%_Yixl^L}(Ek%;1FRfJS}xN_ZOc|4^kqbUssR==EOX09Hs=bop+Paw zpBmX*2}7xC6e#5t%rRFhkQ=pUPaS0cJJ~TXoZ6PgXl$4B*~x6^xZ9{V8v39Y?r4A3 zs~y{F?>Sf2phW;|JY;Y(WWoD8kmEp~o`|A=+p z)qZlsp4igJofZ*JM4Dij8nqy&a@)eHYDW*$To8X<_v_e^`tY+M8tx9%1zh4)KXtQ=69ySJh9~cO{A+L9lm|Wl4v@*-IA~mN`}p+JEE2F~ZZE*3BG&*b7X6is zTwZ=TH06n?cc*uh90Q%IWFG=<;hk%NzR2lEY#Se8Bw#6FbzztJt=HSf!*Ny-Q%p0! zJt=Ql_k+ohV`koC=Jr@|#P9SzKK|BWK$!GUY79S{eKR*|<~zzmPqsfW+u3rpTaw5W z9~|bH@YgEV_IZ79M35L3(%S@5xPQw(^21|Wz!NrEVeRN7%iFAeFL|+IGXuHac7LTa zk{H7vHqX9eehZ7yE)HY;9*Ftyb-$cX_?5U!E;XDUi+<+j_Uy6f&KGR^A03Z=E*Snm zJN%jL5~VUWd@LHz>$Bm+?ERG+F)OU{7+L*yjPF`Yuw9WKCHteOKRTNn{n-h|8oZ8Idg^*t^qOu&{4ycz3qgS z+EP3=W_SSB3PfT=#AYVPt`iK#ffo!K(LnSO;Dym~0W0pWFwVE#zeQ~8Hn5pp?Yw4M zX-ylcG!zp6J~RmaaC3Y5cr-j;kzWjTCmQ#Ra9kK1pQF{|#B7BAmxneEyKO>sRC6P& zrGzHs1wJC}p}&Vsf;bGOL}pdi?X*Pfj08CVUxDQi7KGtLeBA0-dD^jnWfJs4<}vN0 zC4whDYVU22d*1GLIL-s(%;sTeJs6jO!p8IK>?^!8fBcB_$t;WGGroU{*|$>x=<%sg zumY;;#_u2<5mSd*e;6^+cO!=OZQ^e5LBMS~Tab@*rjPi*Vwk+<^pIUncDFktFo`;( zIKA%tt;F(pzj~dMKWrP}wvt0rQ{1fi9eBJL(Aq<`J0JdB4SllgGLRB0sZM2A8{3YU zr5u{>*TBRK`U2kIg%hoA@aRp%M(`0f7(Ryw)cCX^p(XHzWU%5X&(oXu*c;O17!eLX zJ#54co<~GkI!_P{$dRbdc&4D9O9Xgnu%(9F|h0CN#SSA(^@2TJvah$}bS&m2@Rhl1D6(OcG2IE4lg8tGS8+sb;G@D= zbH9j;0m1>$lOUG?V5&smo4a^?=;^o%kxL1t6^FBx_I%Y^2*$_CQ<_4s%q2Z^`c%j| zVcrA9$+p0zC`dC; zm6U&pi36=th6n4QWmJ9Xw>B)hywIt*k=E4c$(H%-t`uSU#W99(f$j0S-CiH_c%AY!S<#5g7D&ZFEt7Jee1df+&2=(Ice@uk# z4_3X*+?BJVsmz<$Zlo?tmjr(`0as5sNldB~yCS0&Yjv1a9>eOZDcorM^LTeQ^C`I#?B?_FL>1{SJyt5)$U zCvBj57xl0<+he9RV_aVv^e2PBqT*UWkQds6P1OS`9}CJey5eUkMvv-s+$;~5-d_zD zSjUTAbcUEdG|^cht4RO~^fk-PeYsWhOkL;2-wqblXp|aF=diu+dgLt=sTtV!AbVLR z5Ykd+WgFPuWQwyi8gFct7=W^D<}S-23N8=c>kpOcsS^L(Z0XD$*^|$DsnHs+_SR6# zTKeHuGQc)2T|43rQ%ya=M+f14HZyp6tKym)9`~GqNnYn=#0e5B=#0Q)xcFNiqzegW z*U}cq%He+i15hkDQEYku|lo%+6t{>L` z9M7f{$BUB8#7$t#dR5*7o!IaS}yPc zHX@*W$EdR!DTbdc+QbfMGO=_*PwDnf6<31i5*_-;E-}$LI$wohxLC%ilnuO)1Z`z>70^Jml<8LuWKg-(5<9O1wGThUt`7!lhwz1{B9JLo8j& zbI5jzYXZfTh z*QC$wam~um12xp}jq{B&yBBZM@|g|FNv5AmO9Ve9VhVAGi0H~TBp?CU<(8=*{2jVk zsQ|4}>QsYhx(e$j zUJS+Yo>U~0mk`je+_}LQ-3Fem1yn&!J=iw=i4(+PY{d7XVcp6Ty7L4JcZnMuLzNZX zLaS(6P8G!EYYF-zI74eVK%_O=&VVkM_0js-k{GwpIrHEDLc-LBP@1wcc)~SKMC=YD zu;>I*3-m;Ib=?ESD$(2sC3wG;Mk%##_J*pZ?PV3sT7D^abU2mou|C+2+Jg2nw>ai# z*{13}w3qobNr|KM6dIU~l`#wexHRuuH~n}Qh)vA^Nd%9XgHu7^2jF+S6-L4-uLPJG z@nX`+Y3_DiS6zT+8Mg({}QMPmos-~Yg;_k~^5hxkd}I(#^6R`2!v zO;_L0cUZ^Zr*y>o86PYFKbMLCk4R-YdC8n`*xb0;6=~S zQ~5XJEe(72NMmgOiJ|+dk^R1ObYmXQKJ3qjzhF1UKNWwlOqfw;XtX@6zti&Wo`3f> z_INt#%~!|W&oXn28}^NU?UtwMlK2x#ns$H8|_?zQ5{nbA?LNAnGnGu{JU zoL}+WAD18Uuc=yf9qH@yEnZ`Y&xqU-SfhU@82WYZdE0}7vB{vG-jqF=*Q?v(Tf%7O zH7NAI;qtADMkXSVpQE&dISQ`bM02OAH2!SxOQ z`o>h6)@Oe+p1s%3H~FKd?WvFH+19Z=;}7kftJdo``g?A)_x_y!v6?Y83*eS}UUYox z-o`A4{WlWRls#gDjD^_m>^Go;Je54Y0Ve3dAm2Zjp#ssNT=@uSUnd}M%(R&q9zc*+o#u zAn`rNwy?Kju72p)M<#wYx@tH0%qhZZK4VXR7aZz$Dvw^R_eA+(qj8Vj`P&EeJ)lN& zm%rn9bj9;_W4e4`$DQ$UebxSay1eZd^S$j~c+Ovp;{#u7%vA`v8Oh^2|0w%r`&hK? zxz-0}#(UvGn}+YsAFSV+9?J81{l500{JHQG<{|b@rO)K^J8EIs`rfZ?+zBEu2AoD$ z5D>r)q+3FLC}m&LqHRAqQ37z&{)tBcV502=9o-aEN(YH;*~ap^*toK-wL6OJ;oYbF z8bA~f8yi{tnLDacxi-KG9^59LI+St>>@Z#>a;Q=cw`~CjxrX>cI6x{#<@M>gbdx8WvUTF1op$s>i2|priFpL+^?@E(pdjJ9rMt1{wmT z9t&`9E(hePeKTTX3wzVDJ?-rtutZvsy-mPek50^6NIvS+r+Ht4*5M>Q(f-}G5&Hr~ zVWc?J2ynuNQa8~uJjCWN0Irb8qB3yH`26;RbpVN*+nrnQ{F-fa^vtoyA*kj6;u-fc zGbH-vNOI;KUuk|6v9r^P%{TLwY-G@YfhaVAN^$P)ZtLN(n;(#l*!&y7bOP@YVRD>>$lZW!LoddRgku$Ym(5^! z_|A^K?Qnd%{FHd+0GCcEnf&}7;=)IE%nyfi=Cbyp3tVMtvXn z+d08XC)w6VB+r3N@EyRRaD3jy?NN9BVT+XQ>cCtyYjj4?=t-i`0UO8XcL2c5Gb8`P zw|O(arAnMplo%pWj~4_l%C@zxl&1Z;EzB^7?d=2a1yax449z6#zZkYBah+*Z6}qP zQwdJ%*Qeu+Aa%~%NR!4YR5;c-P@7T*a*Uhyr|CI0{js?GL|b584H!24-PG9CW+a~R z8*n`SQGajyzykr;WX-(CK9rYyPP|g12`*iLg zQ5H|*A8Z5M{XQtdbQvV=qkdV0d{vQ+V*GiLu#flkQQt;0pPe@H1O)_Z2qdhCm?#P8 zye|Y<%&gNn?>G!a(E29-9+cE+g;8n(nS*RtyyJ|Gr<%m%iQO0GlyX^XTUvuvjt;oz8i? zU#bNgeH{__WCYGY759^UV}#RZ0d1a42{RQ`jlCU4%&QDQGi}K03@|~Q-V<0xBUWH) zjsbes*{ZIX-)bqjpSuRgf`_I$N0q0Ki~c8|Bn1`Jc_K={deU5>)k8< z82!pZfV=qXkn?^0WAyU^qP_Aj+JmBf+<#zb>CbKg3*Pzb%i8mW@2!HS-R73=!tGH( z6hz`Oqrno;(zHv>vb;u~j&RWVb`71_EZIRMh(>fm?vRIDD$Q5^2j*c)lNRl({pP4%A z*kkpsZvRC?C;J1(HMD%-i%^_tv-wd!52sELtyEU6IktK&RIOmBw7@G40L@2qa37%G ztfpSx6pymFh%CnfBm*3onX9&{yxPnMS|&}<=1{o>2b*I7dFH%S?I<%*%<4>=soGY` z;mh9<`)67QIYU|L^dPbb&q;w~ls@b``!fQ_ONd*lv`WQBo0R1k%WrwYUm)Da_6gzu zmtlE7SiS;OG!2OfYcuJp@Yb>eCtwd?7j#f56e-3eEMEV&SSF)fL}Hz2%@nhae zx!D%qnu%C8`9<2LI&S)&StaB9Bh|}S4vpfhpB(}!3D3OlP%s@ zmYwvR)QoJxOb=R`&0_@6X=~=F&kL!*YZ;@b>>KRSd%?hhcFS;dcES_v(YBKoJMFLE z$cy-tEed)jGyTmr2m&hMveyFqDNUMs|ctdJ;C6jJ|EzRvbn93%R)8jY)A~Hfi)2~ z$r6*}`z@WbJffyh+^^f-^|qZUFi;3UAvP*c3yemJ9?S-?O`wD}F&Y#!TGEJtvQSCb zl^-x9paqOj<`{)#lp=J(=;l>5ah}8~GW-Bu5^$uBm%sD{nYK;A)et$_>6$8HK@SY< znWGK6`It= zi)bbq&~Zi0cn)5%ae`U;`6N<6rwHdHy0h6fLLDpRm;eqE)|C?nFL)t3@=@Qo_FvMw zwuHs#i8RcCt&lARvp*v-uq!G-*NL_v5(rzYz~wZzaqan`42F7a1E<*b4DS?pyPM~w z!|`YX%SRX$ZyDNoDMcpWNrcRB5(?~rS}p$b{R}B8YH}GNpawvYu`h4{=1@|q8p|qI z8!*O8OkSlJ1dNQ91?0`eXo8|>;vNCCRGiL~u%)K*_YAZ7-jAh^V%1`{&6$VNaZ_th zFJz37`Nj(gp{)_apVt|g0qhPM<&S~1MzRM#AOg;2t>dSnf~XMUlKznBwd67p%pM}z zeflpc%?kF222u8CnLBJOyo==xI!5dok90!ynSU+M3c>f7Nk{F=n&qT!(o*`cXStCqx;(Ybyj9M`gu5u@v*u9;kxm?5CiWT7-(KBG0_2)xlgf{S7e> zo~ivQ`VA*c1v2_rbR51K*#!)j`)tJvC1UuDT%O}U*L4|x>}W%A63sa!VL}A{Z<#aR zNtA{bl1PJ!nz}#Sc3$||TbRsTEdh*FL*v1o4MFOGO#yt%JI&yFmX(B@DLPJGF(n2n zYN<|u_6=vbRR;R77z>GKq$-Kzl^hib^RcsmwmK!cfXPV)l&W5P=q! z#!kdrzmR62r{tat6Z6Y242;gZN!G$35UwTB%B~(c<^s=s3PUzA@{qSbZH>iWx5KDW zo&C?A{n%s{+~7|HQ|Zh=E8XJH1pak)Iy(YxKl3U7pmmT@g8R_0@F0dagi{S`=KgT+ z%vXHx)ZWR_cI$+1|I9NC0K&0d(~ z*#n-ACpE)chcok`Kl=Rd$MmW`$}R_XhEAj-*bqKtgc%}GtMbeC?^rW{h+7)EU$cKR_78@m4gW^JHF?+I@#-Bjx*p%?Pl9=-*Ti8wb4%VD@;Bhh zPUS?Ud!wUUF%{mZH<~>l(A!NL3k(bgWyRNnD!ln;i`;2%DL%{Hof8oDTS3r^2rGBD z(VU{Mv2r zmW)kzKsxNYOMQ0X7b_rH1>J4&Q+$l*;s1GaHSdf7ITai4ocp)@v?n+k_}@PFeNk}L zZtKyF+pfDesXh4^XiAOG{M5iGPV+gUZ_XOlA)qk5sd6X{*`9L-jLzqCM1s0J^vl6_ z!%rMz8y>UUwhy#B!8JY+UE98sUjDfqKUX>Erux9M#|SD5**;aP=ix6rS2K4UzonIZ zJC{vd_iXxU`|8>1t3xa0_wZ@;!9QpP!XXsr&Y|BC|2a*fe(Tbu=qaC&OGpx+9Twn4?1M42Lt*jOm~DkBjENpQkem0!H9*4rq@Q<2Z~Lno-cKCe`vUn;k`2Z) zZ19;HK3LPKJc_&A(KjH*IUNAqZKnu6Hk1V5UYhuPFu3|Ks_sq$q!PI$c;A6AZqL{A zJRbkmN>T!-B`i+Bm6Fb34LvP(5SEb_yW2F%iYK9_zaC3gqVzhtWyR^uUxpWg-Q*KG z7Vro_XA?Ng80EuCA`g(IxsiM9?N<}Xg>(;AZaQIL+`rlwE6cDuE;uVYEBRUwg{0aB z|JB0-pni7pTxL4YX2|D3ff?_y$y_sU1jD|?P)t7Y^R75;pGRi5?tc) zsM}fi(ilHa&iwkl%&mhWbF4qm4qw39M(C5ouiatWI&)W~Zud$I3JEE+r58b|wtXiEN(Z+zi$TG% z*F}~UdpX!o>0;%fU-I|xRUFF2`*>#jyjLftZQ9o%0biP!O5W@-sWBbbDt=yS zrsi~;dGEe(F!pnT<`_eH7_w9Jg%>}wFHJJM0zRI^#>Ei>SLDjOjMSG1wE_SG1jEna zwnV0}H*jw0>q;u0VB!GZkX%F>r#Wkld0?;{Egu3&W0B{T7EXmS3!o~peULpNSIm2? zW=mjoqym!R!<1;W8b9-$53DEXj9qpTbGB@=9dTWJweH2UfnIm@0=*hVpjW;k(Cg{F z=L|GQ$92RY}(jqcsJ+>}e)(Jl#AW&wAl zIX|x;CnFvM@BSaipZG-89F%%>V$>}sI&E#b>6#y7=QZn~y0U|#j zPd=Q^glv(ww|Z%?*{cz<r#?C2#h7qGR zPneB8T5f?{uwMX7eKwWP@7(Aoz%}2^kH)NAIzc)!T4yQJ3hf4~99*hFA3yN$&OH4~ z*`Z%TKmh@1JFTtWgkd)~MvS{A!J@h$pK;x3OyJW8gZEYgX(B9%_piyp>P2=2&Xhvk zI_BE;!Tl_tE5}`XQ=I3lG))~Rm-)#Cm?@>38M=HsD9!nH0*fKQA=gw>M2HYG^=0?R z#|DB#giX`cn68w@=G@zD0W6OLk9cgMY=?}!X4wg2|CmeYgseCcmh=c^p`p^Loo2dE zJoKcLk4h-J01Ds$sRn=;1fyu*8{@Hud5aZ8%H*e6nv`bDNoVWMJj}1%yHUxCgFV3d ze%CQTGO;^`dbe--t&;$HqwUlfDn~5e_N5ENz1n4VIkt}aDiF=e5yeoOMML6dx8#n6 z`x(G{nO7@nGBMe;r&E?_ZEupLLx|!LaO`;_xu8}CUnsI3zx*B+PYvx|B#IFi{g&{RH zfI-gWq)o?ENzT3--qIh92v(23wdW_;rKan%hIb8LzO`t|j8&efgd!xqp+t+mVw*Qe zG!YK`>=yP30bu03tME17zG?wr(tZh+AU=|)fsQrG$DV9}1};)i3D$w*Caa;QZ=Lp= zQyE4#@w{p~XW0jXQ+x1&ru;!S*Xe)x!Iu~&0CTw?5NntPe&s&)v}Fc?ERKtRrU_1W z%@I&iGo5BzT#mUNHW`ZcDH&xmQZ=U8MWcbQTYEm!HdAx|Cp zsd5Lk18HiO12&=Rrr82fOI1D1S&zFCm#Un}6H|Sau*qA3}Uv_q~|9fUsxWy?3m zvl-n5x<=$adPHC)S+bOnKyA~|oSN_6?o77D*r=Hdh&c%u@4hQ?8S=or7*@=|^aIMA z;K}m{vjet5s^S?@i^2BMn3XbxrTg*)`j$2*JerP&6UgwJElu*qEksS?9sYo|&$7RD z^fCnqQui3yh=NCt5t;&I36u{2R|Mgm(C9ulm2;H%Si*$G$)S0PndY))ScvK7gqSC? z_*!ZmY@dvM_5ZQ=?qPCVSD|lpsVzE2B;Dm^Qgnn;wN)CI+KMcVg&9PMyJ~9WmOQo| z5kWWP9FHclQB1&=2^?Pz99Q+!SUqSIJt7i)+#E79o&-U{v8_1Z@4`8rQ6!=eU#$2G zAHEmP7t4gp<0Qvp#RT!XzQ48mInxs#IQPH%xiso}?Z?_{uh(9C?OlnHRH;{BC}*n1 zzBr3W8++olw-A?Rql0CBQ`h(w$w zU;+P-hvvX(AuFmfDt|Z)1q#uJA-GaEICIu?kwrL*lWW1L-B)M7`id+^buwGTp9bv` zS2V!FRtFy@TTVNsEa*(6S=4PR$E+|&t77c1w~z0oSp$2A;9I&8UoE>C_tRh>j~k9C zLV(MFW>BueW%!O?0;evpr1A(k!st9rekw`&v*Q4$@a4(Oz*r)FFvLEQlt>XpvwWdJ z7%|2}D9taRR>FJH&}ca5}t4B0doV zCGWAL-EjV%na*pLam^$SH7lWbE2?0)W`Yo*Cx-_!O!cKK5yk6V4I8XpVCt;4lxck(uw z4NTJkmTBTYLYqwv5c4X0fyXF1LoD29!mt`{sa7Xv!?0Yeo8zYs@48Ukan7rzsyep0 zT7J4(n+uQitvh@VkzR+@)CGUaOT9DIaqj|1nM%pG`M}r%GksQR&ho3{pLYGjY3b>! zx!L)(FEQ_P+#4Ueklyaiy4Hw`ziaI=>*jHF(R$ar2S!fWaKaJ$Y2RJ2t-+&1eg4Pn zh;@d?+}pR=Q|?xKDj663x_(5QSoT`grMpefSAD*i9_g}15cUS~4Ut?OopQvbiJqbq3OV z5~1dw4hcruHFw*c_2bohE|!v4t5tPutCbSwCCeaRyXVVK776fqKFLV9)AVgVjvFi3 ztZuFG-Q?JMBSXgA1RG6 znJk#N&L+uLZn~dMpRx%PdHd>^6LVNMxRc5f^X5%T&=Fxphx=ulCVs>Ta4vj6G;0-@ z6KAe~3yp<;a0OfpClw#Z{lZA31(5n%;^xtsgp_i4d;SbjW#oCK`LRcw=;D zb#c%?x-g6#k0XlMvosU!9y>oUbfAh~rQo?lq9KVU*4QJ&P1T5HWO9W>BFe>YS0fln z(1M={1#e88Md}gRSjX*ECu|4*0>NVlZlK9Aap2)WsFrW{5!u@9qsG#ST!k>DQt(Li zTtD$>PzGMLvF$G>SBu%#UwO;Aq5)k?_t&Sb?Q97S)s}w_r>)vkcaib#sqO1YaFeW|P2eXawDD3jcRxM1!zZ|q8Rjx@`3bH)@kq(CL62S^ z!%GT&%BC}`bl{$NXj8{b!!jGy6DsN@t|yy%?dm7X9e@2+<~t$L{DJ3$LS+x}gn;O| zOE}5sDEs*Zfo~||ANRk6%XMEAA4Hh24VQ#ViM@(060$@q?2aHoSucqatv+DldrEaL z?;%o45x}`Cr9y)JaVW-069EuWCR^Z&r%*2g27TfMt}fp7?uUx|N2UwZ&uHe-{-`8g zDlenGRR838#(n!Ee2D~+?GA$M6(Y^laew#&5%l;0R)ENg-f1>a8-Rb3X$6#qQF$Z+ zVPP4__7Hs*qRk$7Oh`2=v1Ue6eqa4Kf&o9V78VkLNXPkk{_m+DO<}`Fs(wH2X|BN^ zp61l~g};2;a4}~+zmS2l#6cS+tA?}2CAQiM-akUTQ_jA-7|71!hyc(xBBMd9LAINk zyA7O;XyOABq$+m9M0Lh94nKh33obI#<5PkxBTb+e0Gnz=L6U|&RB^&f2jN4+$0k1W zml7AEwR6=^e2ns((|*F>NP^x{++-6W-a0n#O8vOtGgf6(Fgl(VX&;nCnwsnjo=k_vM(hlB>vEV6u8=JB?|or) zpVm28Ew;gC>&@TaDUNrW(C8Q)S=rIS=e4 z8?%fM&5=!>=oHiOu>Ug{+{ImHyjpfF*Xl&vWdxj+$)}7RS6^Q9-98-m_e+*nb~%`c zq)vW&=qKNG%vy(=>I*^BO%*iVR9{#c%=PgQH`R6jSG$6_$o?n)O1Im&uJx5~>~{PA z=(`KiyLjDv3CTYZTz@Rwb?wv_E5)e&?_x0^yexfc}@>l8-L6dO0u-L}3EPnjOK zLcih=fx_@Gz*F3D(oPcnI87i|{ihvkjN;n=oXmK@B7(j4?*H{IH7NiyQmos5@gakk z-ed81Z;pM_aP!E{bYV|*lmXCqvQT8yJbubgCWj=>&AdH}v+1m#Fh;m=nPDe+fz-dcq*`&*@xyN%Py+(hZuSK$u3e>w-BfLK*EUNjL%RFwQVvAu=r%! z`PtPnNuICWWPj3Dec6$WVT}gN%1vtDPdb&EFUCPGNyYUwU?eh*fxLCJ74|EDWIOYoK@(~nn^K^*yzLuovllQsZ?9+iL4odUr$EP>QFI?ZgfOQht|^!05Mimj21vj z*;AQ89>mxDKgGLqO0P0gcHOAl2#}1^8S}}=z;SVYz`=Arc*WfY86+op5odVfWEhiW zDjp^#BM5m|FJ%qq28INvCIO4;80*OL882g{|MlO{O)M?VimqlfB2E`-OSu3x%4f(S zC5y|84U`g7k|jcs9C|>+ODEBKU0yL>bIBn5z4j_ z+*gS)j-Mqq2N+(YlLDa10B1@R&Xk0D^&v_1KraRFcrWNl27;N7p96#YSRrH}4&#g% zA2f;uZ~$s0cl@7k84W=yJwmtkt-+GRF^2n*oYF2zM+0PKWO#~IK6qYY2HUg&mCJyy zQpcx4Igld>Wur<2*SD(zP@T)18>5utc9cw5I|FfNp+eyk-C8E+K&_stOYX1t7NGkg~(W24jh&G9Gh&a zMQi9S0;*WCjHpN$4Dv{dm3qZ{;$-8j)6O9|<;@+}-o{Dc#WeO&5%`tlP6n%q8+}Mn zh`tuc93rSV>8hLWrQc&}3njH&#DKoVfAXIt?!(Z8r7z@1UdbW(!Ii%LE|RI0RHxzR z+52yhOQ}sNPALnj;I4BdolCjW1*asIq9jlA(9b16$GGFT20=r^%Vrv<0apXaWGQ&9 zcT!YWbvlu#V&lR38+b`w@-VLTFMFEgZP#=%%M!o-=Whj(Y>AZN1Iyn(qo^V}9}=UJE=nhe)WCUTBrCqe z{DR6e#`pXC3K-%mX3gimd)9Y;LddfBpgw4X<~3DG)Xd-||MES^r=5gyp zE@5;cTjx^s+nG`}Dr4R)k>G7NmaNfwYvhl(wATrizNJ8!I!^Rkh{M|<=rD$^3py|f z8?TM;TJp2*YN1R4w;%$>6Al~VN%LuiUg&*leAnJxTEK(vdkbX>$?nk}r;UI%FHiWd zSreN!>3oe?I%ws@@>FchPFg|oL+`s$vmOXAia+Smr^Xfaw6uRjw_p6_GI}s7UoFfk z<6rYqFvrlSS*~HcE0jK&Z}bUcMP$VXR?`ZNx$hT|*~VP!=@J|Pt|%m70d&SPs+OnS zB?#_60leMZ&t3bF_Z-cWz7}-6!Ex)C8%&;Wn0s9*#gQJ<`)$d@64y({yGLI9pURTG zvz`t;GIco}%1tJPXKakUtA*Obg_-rXRk$Vmk&iUNdO5oM*p6!#(|3j8L*E^)%q`)@ zL+X|tzJ}`hzyC?_q_SETV$gu91Uzmj(8Zm{_~4z)zw9|cqWlXYb0|k!LteP}zJryYbll~7&eXh<(A$1n) zlLcMqs!i&#P@QQM`P!xUfaXTIKvtn=L6}wj?eyH*G=+9Th5hE3%pE|n1bD29_96rSQfkiTWF=v%9xw60437}q@@4)hkNz201nUzt%);MR|Xs(w1oMV1XoeJz6ohn{FLn; zWGl>r>>furSVCnzb^Y)+rN*TPAA~K)u80)u(v+^sXq7(n8(uZonSdYcNg@d{N0ad* zHeYktN;KEFSGIp&cj2AuHlU41 z_=}-&rfS-96-%OGU$*Tj_z)?_(v@CB zO9ClVYlkYCXoG4`k{YId%1+n_+a-~J&?yph{l_2azSY-)DbPd!pcKeW`@jY#egU@O z#7lXOxs@hxb_#1GC6?B)^iFqZHwp~niSjaAfvj2xx`{N)U5Z|cj}r!toTM$)Hh}7W#YYlUs=;U1yJr zAYKrzp+;wk6qn>*f8=|+Dr;P}MR21+>g+(Nkw>$5(t@3~!;&y1b9QWMn;f?%*)txJ z=`Q$*!V1zwNJ7g)sj-t>R1RpRDlMOz^`Ov@1a+n{;hoX~;w&Lt!gd5H-8DUQe{1Dv z*Harp5=NxRxT`8iP8doM3k&fGY>bSWRfoD0L-|^8E=mOy+1;TSs zbq5V%BcM9qMSfci#wCHzeB!d`UhNiUTu`WUNrJh{rO&xvF!RB;7_fFpa(@FlaI9U!gy!q)|IPig&28D>R9~EA8dl!ISCy} zLm*@6E7|6%od>K73DVnKG<7ungIgkR`*7+BH28~nB`0Z3n;x4*FK#~3sibv9UyId8 zdAgsvz@r|KQDQBL9nt6{#-FsS`eL2M;D|d6(7;C-(vz(M8|P=gvx3l*!UX|X7hNrq zj-yEq(n0uE=Z6rgu zpka;YNLC5aXIJa%gw7TchA9bDw4?@O@&DS_DW!Eq8-%XmNr3M(UJxeF8zNlzq}5b( ztq37Jy5krp#|i{}6kbFG39q$!vRU}zr>?iEX`e-*>Y|}>a7{qyVFh5X)tTt5M<5DWzc9`sk8Wm)}9i(0Y$c4s{Zgh+NHNHgwF&u z;9t6;Qwu{BG5mP%2u(Dq(c&jf5*CS`!@?uvU6B_{C8B}_aqV`NXTYXhQG%i8V&U;hg3jb%qnQ>7yWX-i0&+*%08Aic>@l^nQA z^3`*Nsjt>gLCR0rj1sGLAX#E|QpG18T~-gb2rvO!Wb+a;l^Y-s0^}?>YYJqj3_Ub& zk7NJXeb3lelT4aGp#0q+S@R>EB+{;(fW4u`hMEms_X=sv7h3rsLn*ga zHK-IM$_aclxgt;82$o{fSB2VeMWBE<)6!*n=h2_;m1#1HOQa>5vRl=NSfG4I$`DFw zvB>pE#m{&8S!a_1HKhsdx=YZes{r+4>}V@rXFw>^q>?Lx6FzatP>*~~7+NJ7&nItb zUw1CxeoZf$8k&zG4zZ|&vNc}yV@4)<>$uG}L+79nlC&X|izlAx9S}=H+5gSMs zgGj>`Fc_aE6NecNA7#|gwj0|O{qVEx%hrW-ywI6Y(-KajR-p)8S(Bh;u7g2BJu@{^ z=sQfCSi&Uy&|w29wbJVlLzUA4x?UKkbC6T2*VaG>SJaS`&i6qP8-tvJ)jC<^YVp)( zmpt5}QV|BBw?X8e^i##xoO$$pdvU zpW#)Z|8^x@EPm+b?%T$-jx>RikRu)P5^XG^63RvbH1sLSWt>Y>j@!mj!I=Gs&5#YW zf!t+{dg619fQjz<0EXhkt`I`#(tjXa*holR7^jgZK`i6eW4yt}iC={ZsOl8?K}!ct zNxJ*zSBNWUXl4PtTV_H4;-+>4HH})t3(jZ_ha%?S-uh9j8b-YMa;C*c({(KVyJx!Y z8kcbb+TcMZAi7AvFdTV=?bK!ru*NRPmjQ=y`$OW}ZI0Kvi`;Xq`>t^f{0%Lkt+Xye zfGb5V(;#gN>eNW(B(3NkVe1AaFektodkKiP>tborKHuMK)fn35XOf`Nq9!a1H{e?> zeIV$@!xS?Rx0lN<^f(Ak*~z3>AxZ0XGv}>8+AI1&jTZUR|6S!2GbapPN$2Su%mgTHBx|xHO0tkyI;wSq>rG=YYHa`+=p*%me$2xPtzD@_limo@9bKkgNB;(L-U zd63K<5U5?UqTdh&O`NhiEeDk`?66B$6EO$Ixr4Af*|=JK{%cF_8#kJ31WlcH8l4$w zs0^c8b)+fXxu)pNMPcZ=GKLEAHeWZlcg>zi$5P{udUSb_5 za$9VK8WviCPk%}$kp@QYu4wV?>$-0n*T9WdliH-;yBjas82nR`BU06e%id9Uz+QOUe7uS%@1oFWIHl;%aqur3vE$Odz zSJ}AM@KYiv2ck{}>SigmHojZhOYC!|Mi|;$h5**dJi<6`!ev62dj8_kx#A!D(<|1mi1$P zTjVCj4gaUM+7^aq!ca7y6E{UyKFNRU@Av9!+M&&7Xqb*)fr6|28r?x+xez<7-8h6g!kY&om8iDy0*PwNN_k`h zj>4yKuN+}=f##EaNx_>BEV-2%T7`9h44|`UPPc$Su!!L+;}CI<>#PlD9`2RC>UHeV zbr>elB?($R+N$8ezwXr(NCQEVMptVPCF#ZTfy3y-CZ9=Ad^JaSjMyTmJ#g0)yshHp z{L(jjhY2taA1jtYnn(ueyH*Y;U8E^O6~+>wst=j5KvYi>SOC@FFTh~vqR(dLALyNz zgP*_{xC1*&`n0X}wCU4iX|45g3F4ahgsTY#18Oe^j4(+XLaA)=UA^-O4fV!LdLHAj zEsJOo?bGbUmG&!<2+Rqw3parU822DiVA8MBk5WbJSp1V;Xx z+I+*buuNOvJC^wodt6V`CgPe}lGFI3FL#yGyhJnz z_Gp6t9rF>~3E^~=YAhc3T=#9`TIv!R3vCEtv=e~9j05a3IBY8hZQ7^FWWW?^-=HnC z>t`Fx-GNX_xZ{>y@)OC&p%K}oXeb+H6@$T!!G%kYZvxRtP{L#ZJhCsKd>oY$s{<0yF#>4&c=X-9s#e+pKT#27 zbtR{fA~2*9@%3x0$Wj^1I;dM*JXor%TBlE!sT$+if9kcf07VbvMWS}v!c*ZY!CP~b zFR__P%D%9gQ0@F6Ahijk*^qbsYOfPnD1`3Sh8v91IuJvG1Tk1Zq#RgS?5H5Y1_>j3 z_%ngCc-Ns95-tAai#qX=A5ak^F{CA}!sNZQhk9kS)vA{dsO6l4Nr|Dhg#U`Q)P1~S zuVBDw@T8?}Kw{}*;1d5=19o<6WCtI& z&8EwWf8sAzv}m+|6%K(^*#zU4UiLy@-yT=OoD$D?*c7sL@<3H3Yp>u|A#KAzTJI}Zh zQsPznSz4)6*;U~0V`v7wX_?%9&BYJi)P1L~dyB=m0POCnpn7qXYw<2bOASlJ3I*N! zkG(ShF;qx7nr}g7>u|7`;aX13BjLwPd*^phbKNox2bd4vPd3Rsy)g-_o2Q7y1Iy4XiJjIG!Y#d^dfdxBF_yX$! zX}b`wQ=FLTdwsUo3_$J619+p83tLyv<9=E_|{C z&w!}4CunN*q4r-+{RX;pnF-Z+H*8ITdSYWTSOwy(Ew71P&)@4j*4be ze+D3y)mP_ntKwFn&;P>;gd+5&1yL&%ca+Lg`Dx?DNE0kv#yGA-W$8Dacars&Khi0n zb=5>HcyB#1sE!T;?Q}#FVQ4n&By^gXE=JNAls8+|%J=B)D^OxX6$^!Qff6Izq!t-r zw{inJV;UrCCWUsu+)~Ue9$d-4-r&G4(m()-;Dk#=kao;CZ5dIURXHns+{MdM{~hiM zYFVhF1zhti6JJ`(waizUgyx@koMdDlMa0chb|7(+?FKHb?%OLy&P#_$bsbQs3r3_)VZ%mU@OQImcsp?EN>v0a(PPvF zBD%BlX`%0|U<-E2Z(dQj{wI1({%Hur@5KusV zF~QW)md8?w2==-pB-2+1>RW7PNz$b65u3f`VJGdaTcUK0#h?9&E{NNgvF_5wZ{wlX zVA_Y#QUxN#2{dJ8yUboucXx6!ef?VRe6LJiHuAShk;$;#?e*^BBNal&o$wR6SjjK*`|jrA^0@aDS2T7($XII`qRCjuTbBzNBBJ| z_|sBH)+a9O)5YpaQ)J5uXxwUmTkz94w#Qvv;s5VPKFDJ z4>i^(sPVgasttil+w5bddplKz@dwps~dvSk5TkWHJdvLmeOjdRzi}FpC!t|gxN+&R3#j;t}NB8_=Z(Ss8_WBa%n~aa7 zi*Vb4!Dcx+UQlBBvCIe`+RB1D?but|L$6!C94x@2o`9(h=ZuiS7~aDtU!vT79JFpJ_*3 zrn;>uR(%t1w@g_W@BH-@#hIW=VD9!e(e=m$adEcHE>I)`TY_DQM=ijmDN77B99@W6 z9J#gYu6-#T%S|_3h>`7rJvu3AbSDF6dYk2i3$hmBrNj+<7_$AkRoFXMSR+jXpbJ3l zAcW*d7m+x~9W-$xyR3PD?U}ZilnMHv%7>PO-n%tBDZhSSuMA@}B!I#sG^IluI36HZ z=_147KSyJI4S@%AH378&GC55k3kXraZ~i>_p5FN*1ad}rLKoLbjK-l>$q&LCj~nC2 zWCFWjoy`WwjFM%|!?HT|Z0;1&z5*qp=a7UVyxNZ;C9wvyTxmR%I7B$TLX11Zdx<~? zS#-%Vvi&u7saSphCuA!v0a+~?B@w05 z^h@tvVI)UqXgdo65DI74W&21V%dhgl?C|Vp{3uN8LzDGu{CreaiXEv zyQ+{3x?6+?z-hB{i3XC^NF1fh0wGzCPxNsY3Uoz_@A>m)gb|H!?d(=QYhB zag`^;gUNIO-LgRV9yv*|q}Wf*^!gH|G?C*DYK4nV&(Qb0fz;%23;AG77QFDOlp_S8 z{#CLS1|Vu5ytN6wQRCu=dkrPDVXuNJzM=M3+n!Z02G?og=_>9gE-kOxIoGvRwmx z)1)b0pi*drbRMLwl~|)9Dv>t7SchsV{t+Aod4wpcuL}v#+yD07o_d5ww9wKUVsu_h zHDF7si%|pm1&fiA{8<~fFT(68`v~>1SxvL&T3J_$t8Mg#FmtCV(kmz#9!bqk2EuMs zLL{;@=Nw;|x9I<41#vS&YRg5zv9GtP?3@KFP!lu^FOsYMMwX-@PK02S4*nUtXbOFGN9j4}jl!J;lqcs0d}k(l?% z;4p4Qy>3vNzNE6dR`lpdBB9=P(wJ5VpCfo$6`-4;wiL00&N+&lPSYu16E)k;CKvCR zS#qmyD1^31a|CGUtepf-*F36KPvdE0l^xN-h-#MiTFm`JZX#scxwu&T;BfbC7H zNPPEFRih#%l0>)7+fx|`4wXs(&~jpB0r9=PB1bqG!jhI_p|zWf#KNKx*CFU^F^_>^ zgZgAChhCAA5ZfRTG)r){`$u~t%>)vOJ88m1|8(I^)}~PgWKAzOpKD5kX)H7-6=Hvg zo19j+;ggFK@9fp2S}kj$)|G04Xvs^m$jC{E4qoKkGIeBkzR95a2=kP&y^3Y5Q`%< zNQbxTY5}BYMjbE6IirK8)^dV#!?N_gCv7SdrYC}+%? z32a;5FSDNSeS5ES#%SP2mrpBN<%@P`Z~`sVQ*n(q49R5nbrd`iY9WN)r1ECQUtYls z5YTl;h_dghLd2xl|NL*!8WDeD+*<4)fsMv4!J3!aKyk6CzS4c$xTaWXhQQxlLo5{= z4j^`R50mga);;A2i(*4Owz!r&%b4+r-{|!PQxUK?k<~#HPw8nr5^O(|u`y(8*{IBA z)(gVqj$U?!$y;aykh6Ihb&EMBQ&?~8U1r~rt#ChxBiGYt8fxvA% z6k*1~NfuA)-QAMq&_DU6TwmkQ_aGj{E-!8wFm!F@TU=9-}q?nF0ua#Jz@3_8# zJP>*8NZH+>i@JkEsZDDg$t`hihyY@02!8=B%L{+v-}MeYbgle!dQM-WH3e+_7Lbz^ z3nLcpoMbi+`f0suWq+sYg}cF-00_d{1T9rzb09{<|Ij8NAs2&jEN?L>+K=VwOaI{w zie8Rzu_VOTn?UAmxufH_=+*|~v{*~ZMtFVh;l@SxZ;M=n7+Yk)d+E-`D~fV7a&%;* zCdQTf^S}K|IyT6|~U>x2R8HS%gO`8S-SB4GsC|m8`Jt6)TIs(o2VA zZwv(VSfFv)?c87SeM{bJ6Ak4ghOR`roJd}^8$Yax>qMX|lb#R0yEne3+x|pUc0g*i zZ6!D)RYQI-OCf_u{>0I9wGD;_NQOqtDzr-NkSk>`{$cM7;~Q5c0KGA^-yJKBO_;<@ z--M zIC&E>EiEPIH?1Wyq@vEo0e~LfUH~9RK5y-T!Y`iJ2OF{IY>XD$f3f z3rJRBFjL`(Z@=O*FOX<2Kk*JsOfk#Aw>8Lx7hdjUo`Ab%pesc%c_;|Pr~Kd7Hec!u zGK}^thMs`pTZl~V#WJ_UJ#!7z7_$$1W5!QA_+{>qF}9I%#|%OzJm<$`Qj<>$$!pn% zL(Uws(1TfqxKSn(78ct&>o?L!f&^t-hs5EvKqx`!Io$wB0vL_s*`Hlu3Yk~rYo=)A zlp;I1ny(rVx6|b@c_!D~@=_}cu zd@DR!o~F^w?Wc=>@)*~CZ1TBe>2khz%Xf$&ShfKf1t-&dt&><5MT;sq<%H~V1zlC@ zH>AwGr&VK=nSCj8v{v!cM96IvI&d;c40L=Kw)N#_YPj_Q3081S**k$X{km)DN=JWW zSI?crHSozde`WVOl3E1ROIQ$8)*u%;S!TBdD4`%~VCpZV9*u3ac>4e5iEo_rIcY95 zhC<;zl3PZqG+Drb?B3u(bhprFt8GxKR-DXO@qp*7iZk=B zQ_DC(YL?6j4Nw4_`sHlQM{Sjo@85=w83odU(P&!c&Z*xXo4VkA<%K=v0UDDpdWBqI z^&P%2XV3e7x^PkjgIkztj3%;`+N#v|tst%`D|eTrl;jSAI8zS1+D%?2a*{MN?edLW zCLbTG)l6r-%JAW7(^gbYAMvGYK989VjGcT_+71x_iIr24GODkC<$Go}p0bI?ix$I7lZjg1H0N)cYooAyadcDi>nA5^ObA}<}j%$JHcuSHT>_OPrabuS7= z`cgW=I_dF6Zri9jYCY{ApX1B*3N+s3PH*tb%nF;nAApQZ-t!LDQhSn&F>UinHSLa@ z&;I=P=1l_`7!4}dGWyJX)eo}Mma>$HMxRe}8^gZoyihd*sGH{6!88et$TuwCs(3X% z-wb7yvplnvlVql?n9pe&K6C`eR+YjWXQ(S9{RmUOe)W3|3JvHkic2it000s9p1mov z#j`i$>(oOUSvkhw(Nqxv_$Kep9Lu2*)akxUWP>65PjfLzlw4qJa49Hcd7y^X|=d0xpH^S*-2 z57U+a!c(FqfQ2T5&c(<7ch3n-m25O!BmalRgOF?F++_N%LsN|)pOwFa!bJ=O z1|6Hv{^f58(8h>4dCF5p<-))w71T0tQjm^@3E4zz)0?&trTtz~bIl0A72o=XG>JC$ zs{L92FyA!~opg0VGwHj~sD}N2m7376qlA}~uY6;uW@XdFio@*aP{e|*wW_%ITUU+Y zobQcX@bl>fRWZ@6SA28Gbg9GICsI!w`NYeS5h;G0$ZwsJRlYbB-CFNucC?^vn&nF zp9|A848aPNl+RYOQ7{_%c{{7}*)7FHMFEu`kDhq{+dw>@v_C0jFWLdli!~;zL?Z%HI*rWNHC=)S0RS&vZu13F3!d`*pB7bG7v3X3HM0Vx_ZP2 zOD7^VUj%1h+kfz{S_7h5!JCr- zl{WYiNN6|xiR3~>@ydixNd?<-chb}BGo(ypT|_Wnpe6^rg?OMC#6MBI zw3g)>H_Kjhbc^q-TIZEBekDgs;6f|tD^|0SLv^=jjnN<-G4oj?PR-Gz8cW~OFd?Nh zYowDPplOf_7ywl90~)!dXgp|})~3w6RC&Rh=!?GNl}2W*b*k@-y~Y1H1l3YR(JQ`_1Y-{z?Dvm_KDs znIe}2k4Zn2WF^U@dLbRF`RPtIV~yW5l3olx^%rLU1#5nt^&5eT4n6NaJouVl^hfq8 z>sFP#YWc~6HTkeVVE27w;PBY^=QkakIjY9T4=T?euU$>f+ZQ<3Tz6IQ#_-{VWA5GdowYAs7`!w2ireoU*>=6?9}C_Ssoj5gW8eOM z|3vMG{egbJ|G?$@YCku4pnBh92b1JyRGG!DQ|Hye`Mmtq_psIg@^3|kp*(+aN*gNHKr@Z>h zwQt+SolhUR_OUZBxxt`%k3V63W<6g@bKhhC_%C?hu?qeo2F>wOsJ8!|U3$oyvp#A~ zJfnWAaChIOwbo%b^*8(JwCb;Cl>OsTbZRi@PhWPAMEj##!qVO-D$o4D^lW*cII-r~ zZFd)kH_dL{9n3`4E77Y_WF5P$@W7!$X;pb{>x9bQvNxHIwoHXT`;9&IUD?E$^G?*P zoW+pL|Nd>>J%K;`v}bxdE_xf0KzG^G(DvG4@3b8a&#CgmYyT{oyMOn8&91XH{F*vZ z+jnk5mPJQb>ieP%=Pft0d+fYo^Uw0^R=)Lh+AG}@U02@rz2Cf)Ed`c3@0-(PGL1da zaJsiXygy>=@9563JX;uk&GR@-D_&){A1M~I+rM2bI$6@}`gCDB+I7S9pV7G_ zUahJMKM$;4tX6krEA?5v3x7-*(`q=oEBmBp=I2m&5rLhv1G|I0&rRPJ1m#(EB5~*L z|N7V7R@%E`{mbL4Hq5HB`Q*U*ec!zAcdQ`SUweUthts#Mv%EV)RSHfk>(aly2lM9q z;3`Lq?0r%6q+N)zwz2*3Ld6qM%jb)GFSBR@fFCd16IK1`=>1RInO$=%s8OXM*2)t& zmd^E;!$+#;?)Uf9A4tuxY^FwvXbPfTSupBP9JpLo`|874R#T~={RpSP_b&;S@A%Oh z)>*?JS9|=Es=UvCQeE&btvzpTwXZ1aVf(=4>D#6Pt2SG3l^T9u zB#i>YnN`-4-mEgp>gAx2BLAk9u`6rr`VBDpe!}aJ+LJR8$Vqn1-LEEcyFAl4T1$*^ zbW&KHi@>B30BZu^_VJ8ges2^^5A2D`=9d=kEI#n?kAJV{F8coSS2JbnKz&2;+MvB> ztdyC!?s=?z^Jmr3#QWgvO|s^ttoEKm-N)BZ>JRxS;K-U3eA2^Zb2yqqDQE(=?k+m& ztI5MGvmy7KI8$1U$*iNL;zbBcXf&AbA9zExou zl{6DX#_&hHIb{!jBb-%4A_o&|>^lSc=}6I=i}pl!N4qk{0oxF&bTSjZ2{o2iU#WYT z3gs|Y9;=}F*E9!wV)WxX8iotnN4pr_Sch2gpTKlSnr(S3chw+*ib3D$X~ z;HcZJv~pVU(VE!-YhrspgscMVMxSSJ2nx}tc&F?jVn{{TZua7gDqB%jmV?a{cFl#x zG|QEQ0$H1FOuhoM2@1FX$(&ud%C2yR&&7LTkrLR7aLsOg1tlrkLlAs!mTOe)-Y=p~E z46*6Rm@XPc!a0eK--wtvrz$UAUc*Ozt05d)-d8s^L#`FHKHYK4m@Jkon* zF<{iV`0byYFEMg^tY$@XmR~tq3rbM&#yN`|K{<0A4#@l1ZHiHp5zn}liLHZ3Jo{v* zjGZc3K=*~wR4uG#?HKbZ%&pDZPMSG6CT7J*J!@5Y20^Mp&@l`oGy(+APhlu4AA_e_ zef^)`deKAn2j)~}L(R=>NQQEoX06JZF{kh=DtvLQt`t^eIXIvU;SffNnWB%jpq8?m zam*2HEm&UV=deX+dSzyt!3bz$s@2K-MW4Za>}6#j=&PvcSI&54sSCi(9dx0f5iUU?N0|E96z2u=sz7C96vtssQ){M4<^Sa z?mai#*Z1Nt{{AJ=iNF2cpWJlJS~vB|;L`yDJ>~qryj*+LP9Ge6dgoZ}L~#AFp?7S% z{l)6_$HI3MZ-4Q?^`m1C@G;?i*C!O`-~Q51ZbGTOu>I*vQc6UA!wHJg+Q0` z@kS|s-&G3P4s?}5VM9{b!aw{c;4fbdu76awrvZZ3e_g}0tVN%pB~r-G7IlkI&o8z> z@xiJT67CX6<{m81d0+pvHO53Sn;Q7avH^ky>>F-iEO3SU4bm6mI>LPR`9b7WirHWb zCZ-|AJ0pY3ev-ETO$|T?vbhTJ&AhA{3Bn|+okwCX$1m4{SHJci-uzD;$?{zJ>^qO$ zRSWyWqUlB6I&V^qU6>4PYvi(J_a9D%UsOrxCr|l%YYQK8Pg#ST!rB5Rz8cP*^zA6A zoWZ*qSbjWSh|c* z*%Mht0$GQvO|oS zv0EqrtmP~m)n#iW63;DGs8V;owVi^uDgO~W%Gl@ zN)9=f_kx_C2Gj1IDmX?wgvb@(sQUYqV-5r|x?o^kHL#jTLtZeNDy~TkHI6wH&$&=S zTJ>*zJnN|qmj6Pil$l1iU+g0QJ^4l@@=W;vHY*DloNn>dNCqN_p0Q_L#!>6M%As%y z@J4Gm3XxL_adO&2eFd`dKd`uX0Mv-eRQwv_asfoe)SeBTtYaEmaNNvVxcHJ}0V!`p z`PY84@1#GP_;%&S#53YdJYyNRSPQswPO3_Hrs&^XQ|pt0Rj-9-aK2G^vc-ptCl_4f z%NL6H@a({{`--XSh5&9XY+=+D$)cS~^)YdZ7?6Jsd5(93yY$Pdrp#dH2k8SmJ;^;@ zB~60#>Hn~L(hBYB1@E|0dHdtrq5*d487$_vZTqrGiRt>xp0cer)Nd>Gt)9x{i~d-U z9CLThSZQoU^=n0cJh@;`Y};l9RykSltnr27v}H3io6J-;Wg@$hRaV{`@S^o*qOjqN z{%q=)(;^B3V<7j}9c)Mss=mv9q>c{l%tW{Q z=2T#N=IwQpwx8aF*!D9+Zf5j?AIy8@8Fz~xXVr!^xFJ*)$4U~Evq2i{saDX3X%K8) zJMF39;*dE2!5u5APyM!ea+|@p*JHFBATMy-82*P8cw`jnDhA|ySNRA2fh%-KFKJw1?Jz={J$4)v6Db`Q8v7g#c>K z`+T|`#;TaNFng1iaeZaA%?Ld%WT84EJ}B&GRI;Ox9xi}L;bjsJdTdf{;83+b98*CK z;(e%io_Fn6B3xFnLc>-p)>c8|dCnoAK9;hW5y7>%Oo> z)oxxd`>-9V0W2Lvij-yu-MS|sPId*Kb!kx$+3A$opS|1WSz^!QYR!~pDQmYhn}G(l~&I3Y-1*J>75jxmTRifa2|m(?Rw;_t8sIu8ALlR-wcunLhy#x zR4%i==QK7w#=e2G$WSQ&r(joCM+3$EgaM2A0hCIr2K+64b?0L>6=dTKA0m3?M}rGq zCD&hM%w01}YAX|h015>UZ16HzbvL3g(xLF2OLK-Y(Q(YL{6*0MFCoQUn zuww*MMuYfAM+P=gKE?&av~z0_1D2P}$)E>AdI(w;!$Y152M1}YASVzW7!}Oh$bUuU zy!`!RX_SnI;KK+84G&+nqUYf-1%YAxf;9-;sa?RW1nhk|CYboJA_#)~Cu^3yKQ#g? z5VMC`1cE$Tk^2g@T6%}ORP-l8bt*iNZW%dISUprtGqy_!qccIDX+@PBMW6KMmFlml zn?TV3v>|XpQs`|jlg~v)zh^#K1eHUpf@rknWa5Lhxc0#iRQsBw41_g(nvb$uyrD3K zGV;Z&JqCdch*VR>6RzyxS2?SqyonymMtiDk$mDLdE948ZxV)d+c5?_Mkt5T{Tf9LF zr`<_k1+h|Yk^u`$1So4{m9*WkMlwDSN<%3=iv0LAL}?fojmqJg??)+8P0Q0ezWtW} zRNPoQrEVU-dA$EX+F$H{8L!2KzM)fnLrLL`eI?CIonq8Ivg&SYcXGb?LU^k98{u8< zrq6p@ExYVK?4R+D4%tIjFPtj&ttp+h?;O9&?fZTINb#@2SBw795WuGf2KyiJ57oFi zv+XYT_%>uw6rJ%F{LO23TG9UM(97Xd#hM!Mc3$vQfU%OAR64b57&*WWuf?KRs5u%CY#spPA_uxL8w5MoTb^~sb5!Vs zskP0ERDU`a=CeZldsF}6@#=}ldd>@mPH+2)``p9+H(cvv+QjILk*T_D384*0%oQt^Q;CRQb0Cp1->HkP`paeQUPwji%k? z?zO{nHQpMBB}sdn1)U7#77{qP(L~ zurWLQNpJhsv+-}KV0cb#pDkQe+dp5-EIvI`*?-8OA&mN~uEHyUJ8Q3Z>)3!*IS^Uv z>`QBBRj`Ox@BG@m;l}fWH|-C7e>fXkABYA^tBj*b;9sd1p20&VULSlz{7!Fueqrxr zwf$-kc>C&i6#{V`jttM;HcqaS_QJ@lc+pDk-e_bNZL%=!pYl z!Xp*=csr)mrL}Wvuv7s2KM#Vr=+kcrobbAByDAL?@`&iL;r)khDX<_le;{)4_o>;N zhWGEd1%Fy}Uv~T6dc3cGX-)a@0uGVtKY5;fXL}7FD~%Le9c_PVZE#L)Uqzbd8AGwp z!rX1|8B7hR(NXwZOG!{~e3+-M6|NLLakRaYFiKrs43A?5W3(Lz#hn#bwTDz` zpNDsqeB}Y3ng@JF#CxKL*k@rldo)QY4DvartpB6P_13$KhWMoM?54wW>r3yw7|_qm zX42W4Jqur@Ax__DS|Kki&=)vn1yF}mbC~YkGveb;Ja;IsiJ=r^S@^ov4i5k9%P7&~ zb{yLK@26uU3rPFSJ<{b9Sk|{F6+|0n)ldM1+zBh>g*urlM?t9R0{-CJN-XBSGr)mm zIWH$aSIm}QsGHH?_)S?hP>M!siO}MJHJmav|AqVcs9aGfXh{Yt;U9& zN_>gY;^UvEb7<%A?NCvlSrQ+4Y47JY^x?c4bvK+>vjx28=M{6Fht|)!!{KUNVKGeQ z%&Li;aWNP?nlJ;PN$}h30^yvtQ&swEl$lceN1vEj5*eK{AfusjB>3YwSU=U7=4vJ}>I_p!Yh&4BrlSyA%d zdK3)qiGBv{9Gh1nnT)I0sTl?SU<5Z8!KPylKdn|{SB&IJL;LFr&O+(GQvJR(@ko zk!K>6acb{Pamz)EBfmfTWn(I1l=oH*V;i#p2NMdhV$AB1dX4NE5AWSHW3Yi_m^!8{ z3Uc;VcbZqKb!9I2Dvgy%@+25V!4-Y2QH&4un@k(wAXFfxvR31#9Au4XI5F`{MITz7 z5+{8 z@M?0+*QKoUdpv+&X!#La9>8VAe7xI_*oNgl<3H^9?v}wK&d6R^?$kcbmItuqjBL9R z#q+0qk^VQLhA$G2;DaCgzM8n~B%o??A5$R{`%}zegWDi#{8Nbxb2u1kdW^WHT_cDy zqk%9E&x!tuVJQfu>%f#n!m~rpsba)zgp;v?{hzuj(S^{`vZI0?=s6PogrOKxsOP1A z@XE(-+?ZQ`S_Zo5Ji1Me2ZLVJpSq#13Tp!P4mFiEbc1Uvf8%M~F0=zXy{5vaizY)4Tob-i^xPyF zUp3<5#fzdfBU|0CzyED&6h}CLH5G=lVa_qJ#shVM(W-|Zfiel1Fe}xpv1MDy#86Kd zOR2l8p^SW<$xeqdab_y11vv&U%28L7Y#N)4tpqzb$(1-SFk`8lnKesI7embV1}iAjwsF(zo{17%1RBBX1mHUmD|K_7EOKmG_Q#IYUK1RZD|B!&n?2@M2)} zSGReIs;r?$L3xn448Iu`({i6)uWZ{k8te+Q67du`iCfD=gt`XVlf}h<`yVQ`U=~-8 zHEvFsL&4XoAG0pL%fd2M_=*oGYw#gU-BjE6nJZpq_{N2aGn8q^7l`kw9I9E?l~j#q zrj&KrPSFIrz^PTN@2$>nV=jQ*CVk?w?3a>Sn6qL6bZk{J)fR~+dD_1kWhPxSGJNFt z;0W&+NZHQ%T5_I-gx;$k9b(v;q^>bnIqRp8FjVBYVK#B|{wjuht5jqha~Wnqzjms2p4>o@NGbdjnf|P^e#R;(H>;|nJLb1V5`vL0o-2-I z?y`2xSQvP5F|L)u@Z0m{!6(&Tf~=U@WD8B}n-`8)_D8H2(tRFSKCTW~dW1r)*YqNV&w>YDb3!{e2=TKIJBb8hf19FFPZ?!13-YO6n` zPWw>T#r!s8KG8_x!Bv(uK5K0*mQUG3wN;Y?YxBjk9wTve%)ij{c&d8 zV4YVQ@Id6jn)3Ih{;|VD?rP&=zJ5N+`18fkDtYdOK{g4)C2a4y>65;)N3Q+(H;tj2 z28wY2vBU6uk8RaX_cT6QI8nOBz_qUuyrD{bWkaG{a!zKD?!)Uc56Yo!n3qkT#p7k< z&uo*JtuRQE7EUJJC)#%ToO2`*_U%_ShXlg zWT=xdM#4df3VrbRR#^yEw{n(A07K$Z@tPW5US$j-{N`YH8gdHu+?ytqtdsF^wQMHt zY{ACCA~9fussaUEods2psg+d~KQUuyMMQIiw=wGzr-kqyh*#k{fAxnxgQzM8<~%)L zb6v0nPT59gBi0h-^+)M|=Lh5DNCmwKAMgWTY_nvp+k}x~$o6wSe#>&kzeo?Te}6WT z*f-O#s0$Y*ZNSQLks^k36NaL+Hp^^>oxl3*J!23h?i&zfxjW(K!iPgxtG<9oy^v;T zJLtf<$Zk1dHorzV)iemAQ4#Vi!2=s)DIW});3R`tPp{*q74Hq&$KOXPpPdSs7{Ij< z=_wnDhz-{ z?a8c|0}1%Jo3{ALWJpjD(N^(*orYVgQyXrVD4%ijm{%SDNaT-2%DI4JZy&IR{*K(3 z;a6X|V0tIv<-A=ppY%?ZhKQjwD=DbV4ieGInuF5=hF#gV35FBrWF@yV$p8hBg$Xku zB~w^o$P@x2q7Ah~Bok77QhG^^)-b2?g9x%jlyztru(~K~D~uLD@JG0y&HtCT_koV% zxbHl>8!dVw(+;LN#%qsxba$bN6h{zE4EcBy#Ai=88e{{4ECNz;JSSO$5UnuU?AnsE ziPyP{x_S!a%yMY{5uN32{MG{q)?>2Tm|~Qi@8TB&Ah@Pu<}4}7t@Gz%igxyV?~<6J zbw0(HUEQaKlq}ymiEsD48;OJY(^Fmbt6x?9e&723ekH?EN7o^Gx7H$sPvqGUBif!Bn2AgJRKgGW< z6l?a369r{{Zeg^XZVZ-Ah?z3bY^3%VO18{+X`=bp*h*J{gEE67GMp`p7l(eN-PDx0 z6dw{j*8*@`$CFwmvX!1sac$X>hpd+XDz=K{SWfB4dG9;k$|he;+4BNZuCNC;W4(ok z)TkYGmqNC>K>kfp0OSVNzC`WOH{P@3&O=aWOV|@OtF0vEyvqbLl+wSbfz@%X?3C=q ziJE6jT7keTI8zyPgUHF`s}H~Lx`-Esj}0FujfPlyN?X^jJ+*tC0FIi zLyzt`a=mrw-K}p${?JCX^J>J{w#k{V#r5cFoi(DX z#`d5OClfoJS+7i8Pr}D5d#~)lYuQ=ZyGMFg$0|zdk+F%v_M&|5r;gm~Py9+M;%n(z zv@M-lVQB6>oJ^<})tU4cmbQ=YNq1K6NnU;OE#3$Fv_H5RJEhuQJlMMY^CQKJYHnr< zALQT+)W1XOGT`4M6(6$RBU=ro_ekY|73BGa7&iC889edUWk_ncv|Ix97v(yCZD$l{<5%WZDqpX>id!z=X7`>i~sF{P_)dZFm3m1yt5Y;vWtO{CXM4 zVe;D}QSDoPb^pfxWXGR}vws1Yc=Ffm^VR3RK6?eE+n)LL-CvGv(V9&spAzHux2IPo)#CZ|;U~*)lh@z2Qr7oI z)E;XDaWDAAVAJ`_p$E{^lQTs>Y5-l1IH*0w`HjM-2Ts&_`REzE9E!!%wJYK%+N_E*Cf4bpiE9K*51z_bpn=X^*J`T7a zcFv@m(Fo3lv7i80d}@9@tgcm}+CuBZ*5G@XVZQ$xhCo+Uwc=}sz_80hLuW+8>)~$sK9Ie4`I)G& zW4h}JXl|lza8j!`md0Wv1HK(OxM95qQ;s7E`2rWLHG3teWMz<9t2O}4Riegd!1Rhx zlPeE&W@i>^1MqdN{UEPGxo`z1S5n^9R?6EdT>IEx4n&jgHZ~)bRX^F9?HZQfQy<)0 zKcMeRfL~|z2cxI`*uZbd?Hj|(!w~QwEH>6AHK^#pw6yf-^%Y>{i^`sKTehK~g1&K^lEDkF7YN^|d3M8>YgTLa>cj5f3#sFQtq$9@!tSNj z@(XF?#xq~9ys-Z*2Dg9Izw^UV+BX_F3yXeQuQyBM&UR1O+r8zw+CC%-J3Q90yIZ;}Vj%EV)K96VeUq&=bA)G#1%y(7NXI0p&&fL~`|u=h}8}w*{Bi z=|v3swl*~4m2&eN=~1ekmw!H8*)pH@#_oaODnY2l_{2s!{q6VuLNJ?h3YQ1@)P$1Q zgGWSoAltE0j}EgLkgOjK<=3OI`45DYL#{RC`lQcR!vN!u_^`EB*+pqfKu|{2B9eYo z`k8)2`UfiwMw-wU#L+s~q|hhe>j9I3D}o2st{28AQ=hOo3r4VZydD)$g zh(U>`R?^S>VrdXo6sFLkPhdn^fv!4g4Sq7A3Y(hi-B?1~5y@7}ASpI_bpxIb`S2N2 zSdgBkh9I4+VGm@|A1YmAi>o9@j;NGfNubCrK9-b$DmMT;1CJw)O1al|3E4R?gLw-J z(OpgX`hCnF_>4m(48!bG-@fBlXce^i>qWWLpa)wIswM{Zju8x-TVNyBCdQ=~ATC zlo60&Z8|gT*QI9p+sJrIr}WJ)tQgwkuWo;x_V|h)L4W)%==q9-_XtM#iu}YIP)}gX zTU^6F$FNTm{9Cz(JNoR&PxMQHYxs#i?eX8jRD1){_yZCaKk?X(?*muf|C4uPFud~d z``@vhntTV=Bh7*J_#~ymdh7$S@Ho$YXvfQO1<>;irSxf!-wU0-MthulA6!twolM>l z{qlHF8p5l?PH(#Dd~zW3PE@*cnPwhk8O$o_X13Uy>A~zkrWf7dv_EvtcoH)qbDCv9 zO%Tz(V~xSzfAmxBl6KYb`1pXgGi4oOz(@V}?7xFn-}pa2Wf7>yUGviI-~A{2!9MRl zMCHESZ)tB|_ryQ+PJug+cU}WVPb? zQ)@(mcIGlta%b7mxV_sSYD96zu|^JNI-bOqAMlU~fSTDNSgTm7&hK+5Cb8~3-8ft% zBECATMEY~UrC}tVt~i`Uy{CSmvK*~Ot7UIzT92p0m;d?y{^31N7+n%&c$E0C>%R6x zC>GsjlI$VwW+*eHBOfo(g6vbt$13=0_%xOk8jdnf4x3D|SukibxCPz_3m;A-NC6bI zt|z1Q%9luUo0f)Fpvt*ivl>m?$<**B;cbbYjK4_Vv91oLKI_S=ujJ^opU zLLM5zP_iE19q_#Z{cj>Oea@iYu358s=Lk@&p|B4CZ^c%!1I4B`gz5ChcRr+wwQZQ-jQcyj>AvgnLtUc-w! zc6T-#JXoZsWOD*fjCI~c)VJr=iA*%iTyYGK_cY>^Ec zL1?iCCJ=BeIBraZYE2HAjU+&$+&*=%^CZx7$J2I#=1sf_X77bCjKYC;ggXCV+y?{W z`|jN|RPw$!AaxWtI>ISj_ZA6d@kZnGVG!SG)kCq%+wS0O8Uf|yU&GfGLDCLSSKtDL z0$qn(D;sgJM0R;XDb0025@2Na5M(dtO_0|BKneX1l#^u}3<|BBnp>~B@-tt3i{Q`&+|- zG*|a$x>MFqN0{KaPGQ(uP%++|EjnI_KHTXeGXNzO7G?O!6U$-87C|^rd)j|SMzH#V zg%q5JdQY?>EA$9z6FQy+7VVya%8AB(eAyEn-V6Vd5WgwI#|dq_Y*!a1g0lA7 z&J13@&O>#&q0~k&(4CvUrhoxPy2Wz1zW_emc4R)OKo}Fv_C^XH_(}vP4A)c_DhkVP z;!ixCI{wtnISyk;cQ{&+?c9Nv8PgZmkWlO}lnZB6g?2FP%$tnY9?UydM^+})!yxo$ zgN1}%vsU*2pwq`4uRYwd0R(^;bEg&#Xm-Shz&mj49RLZdJ}ASZOO+`%P~j$h)922+(&JZ-U(qs4s)XdV^KZuWK;~LDUUk@Gg6CFBJ0yd$O#OaqO{K z!5#xgvJ{4UH{&!*pjk@v!Z;Pv1vsD;luHEVYD+Sj=dV8 z_TFSoc`j_tCC+=WD&*5J03j(2-c}MsMBGX}kv7|*3Qgz((6Jf4>>K0*2eg%r+!+kO z^bNq${APs-!b&o~>N5ZK*x}F?_^p-2kNw^q-B4X;lG*_w+PNDrQF@Tcik47i@q7}C zg|O*1Ux)@+L;}jk0?3U_DUWbAvnRsK3A^hswMZtzz~+hWAR5`pxQk18e?H*7-?2oN zc|={^0#)*2(fO~Sn>ilQqS2tgSp{V`2EGhDXS;R?{vB#C3Z+>tQh0HTY%c>W6@_2LAksyP;kV+{u5Dvd=uJDc|`OxHBU_ny2+WK(Bgd!03ghK<&hKq z)4*o-{s@9!6i4@j>;)rPz8)O%oY=rwgHWz4rE+`183Me_)sj&TN~-s3#{##@Ol>|k zSZ=e{AP(F2v`DgDl|Zp)7ePd}tZ63;oP$~Jesy^h)rX-uBJC6=KfkR;Y6p zoobBQ3T9py2ccu^^?U(l#jxWUjc2{GX==dA+A|FY_0$7=44BLgx24ENpiuoI1;G3S zpl;jNiqWU$RrmA^zjku%28CO*dzI+{FLyt)W3xZHTUH;%s~N1zXCdzWEAMXq?&^g4 zR^-h*pLn_`mcqgHJwFm&3yafxmUcWUo{ygJb`)`iDPgsO(sDjyG<8YNC%I4s!P3`@ zG3i&urBN}o;&p8?*gn(nYwC>eca}n^A^u3I`?Nfy>fO_goxW^sIkMJKMXN4PMDLSF zIs>Y+JFEVitn(rn8?OFeM=IkhtbTIB>4p0u{pmwVZDlU8YVdRxD*y1~hUTwFuNP{e zxVtvui@EiwGu2;Hk5sNiQ_m-l4>j%zL((I7Rc~QpNBI20>B^3aLe=+%^>nfnghWEe zUh|_qq1E-yLZPJO`<#WLpa_J8NC zcx*w)_ZRx6_d6!H!A`%$^N4>?Gyog7gRm~XFaoZa=!+uc8xSZl!%F$*#1@9LPx2ni z3u*&Eh>uIgl_sWnp^tw8ICJTcVN(u0utCwN5418pJOJ+12Ry&~1fY5dd#whBYkan> z3gBrIOTDO9DinF-VZIR8K$`k7)wS?+il^sI-G2XvKMX;tda-fSuWr9s$7es`NA;7% z{eSr*;$3BR|6jZ-VJ~Q3C(Sq(8mUPLflAG zInJqjP~{9J2wN_#MJ?sN_tnj#W#^b0ofUVPrem{S9&&)U7A|_jWmlR`X+)urLvw!- zR)^zXF^H?dOiH~i^-1ciRVrJvGtf#t9BHt&5)ib1`6;&IS3q_^O94(2fS~JYq6BUR zLbzK8%e!05`^QwUJlvVpIl;@v>)ZSUvS#m}0OP`$RjPK>pbZJqtr9*wV&9jpjEsXw z*9haCJ{!LCZ+?h{^JMW6--BuAm&CEw#&*GB>3@27*&t>xS_;NQ)tS5#d>m0*Nb*== z)yM6)S}p~b0014IIt#nE1!#FQpUWBmJWP{P^+gCBoFAw_z!(R}Scqc^S|78g9V{py zSOTRNOAmh?{mVdMb#O-@n2o8bi5O=m!SG+1&|gx7W_TBU^sXN*-y1s)9td0)KcCPS zWq16N7vej@XCPko@Q&pz&>~rBV!%u65CU~)+xrth;K@j!5X)fvy}p89EBMiYjhIbf zOh0oWcpgupVR(f(G+oa+)wqm8gI4E10o*-(mi^voZ9}F-mJijOtoCHxpI+HFZucIl zEtRv{^S^Xw)4SdxcwPj~_T{h}KOj!|hgku8!(J3t9}HnwR%b`C86uRK6D?V1QcNAO z4N1bF#N3g!xvLROVPQbItyh))`ZIR`a}F>1g#H`sevGZguFWoi7fTKs6l@nxK&J(M zZVoMPX|AxA*2IL#Y$d8&NHieX*=QGr!dwLfV+6)Gd<4a=gzs(x_Vac^%N%!@#XYd$ zMSuW{`ijOj-#Tej;Z4*hKA#x-%VKY%mp;5q@ICR;wG|Ga(>H(Pp9E#e{&uZQ(BM$^ z0DFLb$|yUP)CMrI?$&YDo)qGcicHP;ZS{%WO^g{wpIX39^kz!T*lnT$a{*8ISYZ?| zvyZjdyg#>aP0@^F>UQX{fp*(gQnk0T=~r%xH<_eO*V66^CnHIi8fKb!b>>YzG7rN} zT=F}~K@UHh+1Y-Vz{4+EYe&HbmU|vNP_S@gPNomw&gGYUwZqj)_|ImIs192hrp77C zBtS$qnzj`jQWq$Jl4nZBBk0-~x$DxJE|)6P!!h4rq~!L@R@X~%wzjhBKz8G|-i4J# zY&hWNcDiU!10x2p*xMcJ?xQlm*VCa{Tk<}**uZ)LImB#T#b^UyWDdX;A%zeaZsNng zR6CwzJC0Flu*W8!B&GR6W!UAp@UFyCt0M~@QpNjO-C!ZYAlBJ*5!%zw|M$+&3-5Ub zj^i8ImcM!nc$|Jir1a|y$Io46d3-%O`R`*mzA;d`AGG~Fl+E9wEE8@0I@faccC__v zn&j+js=TK@I1g@^y+Li!=wPZ6^HcM~?hUrm03@bN!k4RAtV2~E_1e5T&u4y}D3}(Ah};(G z7GB;d*;w^=5w4~ncQu%wxgX}XIo&IcD(%AsE{_%5ky(u)fUae@9bP7w78};Y_U$*X zAID9K+cs#Rzxjb-j?ses7lU6=p+U$RLgOfuJ^s)ft;VV##8^YJcel0LP(Qs*?z8@n$GA$Ss!4c_*q5kf%D z`Ydn>zADZcbC^=b*Cf_jeETrK5+EuHh@6ylNL%z@&gM;^%6AGQa$F$pk{>Gk7Mb8| zF$C(zT+HVngt>#ZX|)SfkA>J2I^u#o;d}*9ZCLOqJ+g5Vg(7^Bn|$bPZ=x;mjk@?- zk#716VXk7Qbq3;YvF3?<%#eF_kPwZyRs|#-VlT5B7T9v6Jp)ae(4Wlu+RuJfM4`)RQHCc(2%FQ3-@E^gh9B+n0B3WXa)$0C z_MJ>o6Xz=G?c#ANHu$kB9*Cb$TtSFv$I!V7@@Zi3;Ur4C6AFBS96R68ku9MB+6Q$C8Uh7a@&$iLIvaz zRFr}wYKV?@v8n*zf>{x|%6Oxp46mlE`(8gF4wsmF24X-qgle8oB#>IOWE}zAppP(V zOewj(x$--?Gio!QX!g@oid$kE(BoF9S8#d(jL;^Er>E+kahX9vX4d#bSPCQ+CtZSO zR;=dPinT$RRxhD?F++u7Z1mmqI10MJ#nzu)$=d`TaT^osh-7?V!nX@sTK##Gd}5*t zj1d_ilDk?O88GJihzM=9@&(nOxpG*E6r4N#V-0!9S9EC=Bage>_~T#fo#Pe^fAft3 zh!PN}{6Q~C9Qdt#nk&{)t(c+E2y_$4b0l?vh9)$)>ui(F3Q(GyiSfdlE<#JK`9y-t zru$rr7G?>b1=UWC<>ZDbHB-kX;})mgK+yLf$&;X5WT(eNB=f(Ji=(rq~ckj2dquovGEgu z`+x~ZiKsEM(0vRUZawewP;fs)VZKC91e`hU%LjcKszgzPoa?rO7&#)K$Y9gXTiHrL z3IkL=2tx;wA5C(GW1K`9pwSKtNw%04IeRGV{K*wMv-waWqu#g-sTa)i>Dmf zi}p2S#yG_M>M?r>(`^v>f9EJA-s(;tybIkloz#z(G4&pi%&V-#<{K6!+D=nQ8NkS9 z?rF7A(MhP|H~?91Ho)<9x;*u-u*tz(dZlbfNP1d;1~ z>VI|lm1vc?7#^jwy<`-H2P4!%j~I*Gg|W(J7aJy)qJ{JT7aU+L#LX-vIZcW&3Ode) znvwaSPyUf)zUYsU+|6Cf0!ekW|j=g!F>T*pGkdjV=Z78=^IiKZyl>j%WB*e!;)EPFq~4AC7Bq6fpCKFBZ0 zzN9b-UM%bpIU%>8l!9$N-%;~L-IzWCL@LH^p^sbMSvf)Mv79;+bp$VBK+i}-X5!AkN`tQL}Vh}w1?={H^YiBdHyf&Q~wWjFSg@%QyTJtYcUU{NKc-$OWl@*;j_}ayBA1xZ-*y<&X-Jt=fbT6UW?tB7-0h zU@c1h_Q)nPGHxMWrWle4;yY&Vzq#<+z0*1LA}AoG+D*Sv7Te0eNaC*H6W%^~Q9KDm zQvX6{@f*pnheLCKF5?T~cKz9_jMHud)T_q_dD!jg4H;@^tu6QEh*L%;LZGd=iUrU~O8A-mf#73~yv z)s5FB4!~4`UUa87GmGPTy#<;Wwjfi5c-A3x zw}Rhn%xwg~Blno=QmAmlvore>LL{jzd>lY8I)cdE#aGCM_@p2!xC$6Py_D2((=Lwv za=EtvnAH=XI{Icy;HZ<4tp|5&Aee1O;;vg6>I_oR&Rh0f!aq^{^th{E>nsqOrd z-zA3$bi7`@V+$xgx>l_D(7);sbXXbiH-Qwa{E7M`cKKz))p{KMjUF!R!dYxP2$rwK zP8m;XF_-lof|43kU_O}%fxWj73YQa@Jae@tIlM7F^6GDcpx~WT%iSkiHsDNdKn%i> z7wOv~cBo;rW7)8dpw8Z|BA&ZJa;P?8@4gXg7k1Sp7;Jn*U0JuR8pa#E#;M|xpXS3c z)yo|K7jg)uq^+w&*9*@#NKcZ%n>4}9z!-LdD9bq^>bCg&e|U>UfVWL^fR^~Uc@a$p z8Liqq&tLqkDArAID`wv=f;U(lkJq(D1UsvSc(#qg)LL=dp-N^~YTakbHpT|BT45cp z73};IX-z<68aQ$D;0AG#ZjbbYBrSXrHj!hYY!-PG*|c)WW%u&0|72j-M4ho?RYO(} z)LCc(NC%jL7yp@v=YD+$b1`|WVR@D6WDLZL#yqWw`w?bcVK4zGS{E9uo;VBW59S5q7IzrEmJ^n_&zB81n4%ED0r6qHAEqE@=b-Iysb> z;AP-i)C}CREj*W1Q4DSdIP22gPLfy#TtYfo4bEX-@gLq~EqwfEnkCauRK#u?=!m3q zPS7WC5$XQ^^AfzW2M~8m0skITy>h*|#L?x;Wx$Yen^}=F-#|7W@fpQq1ks;VyA8NS z;I!9V8UwB^d$7>9Soa9ActzKQLvQv(8bJDsQSDVi4;D<&{U$3Ru^%gCvrXMTbluU) zm=$yp%rMdP&nED$A5Ru6*S2pf?UMR+&5o~jD*dBrV z2>^8zo^r{$S~`&BMN&E?7u{<`tXCFBO8ZP14?CwQv2@kAKXS@G^7P077y*O|8vM}w|I5^?0bMq{V?Fa zgAsR|%+`c)-H%Z-RxNP4!noQsPlTQ=-LM#YwgBk?X z2<#A>`ZYcperyq{B|ZvNh<_a3`VT@I5f%Ow*+TZW()H2ff7=6RL4`}qu>^7#_RhZw zj45}^@_qd$eN*i9cR%op8&kh#fgBO?RToyfS>TYs5hLewho~|ko+_N}PQY}fRo5}7 zPnWes4W4V@uD6~hXzmKU9*vJxs4$l0Mx*P|966hH6c5~y8759P-Z4cYMs>A_&d4~K z7U&tiJhLEMx3inHahNn-$wA zaPa6>{c8rm3T#+54RSq+4@adIAcPf=Q%5$hurq56WX!xsILXe;%!Cc>TlQ$f0oLmx z4ArI}dg(I$*MK+V#C&Fwg!{_($tdN8UMRL7Sq5|wI1}0;NtA~WR;3zh0HR3~8L|qH z&GZn2_8_O4T#*7SNC7ce7Jrx^Ksk&xuBIHO5?3)eEll%ayYEuvHmLt*&P2Gf)rzrZ za>t^p|b>gIMLIa1`@M*(6fYa@Uz zB&`v@?Fzm{6R0v@B)$0a`!<0Vg4geglxb@h3%A^5gg`3dQ%`jfJOHASh+EGf0;e(82cS@R&}D?3FBGyd;mjOTBxAra)Uq2oTGJ|O!5uMnhaIIm&U~S3 zEX?^*C}D$$x@gpc7tK!kk;lII=BWn{1#1#@`y$B0kWYwgpbBoSU`@{#qea6XsSUS^ zq8Ls#o0!k~;1$3s0_bqOebE?d;M9~l19fY!6sN&3#I6P)&AfuK<}oA;1x6V>1riCl z@iKI#d-!PJf(!_}W)Y0o&GwU_%Ifo>+L;{>r|a^o$KE7nZ1_{qv01&Ke;IWHQ>Et_ z5IF3Coja%Cmjp_PkSJCbAwuNR7F}I~vXIvG9 za+=y^b1al#4^3CiPf!5S+R_nPdn)68c+a_$?+Z8OF0~&J?|krwr|i%;r8Cq!fYNEE z2$U!%Ri8l+WXwti%a`%Z$Dj%h(#`F`Hs4t|Zknmr!d)_m38#Dnu*U}dD_O2x<_Zze zK>^c8vxKQsOMwU z{_MyrKfX0LkVXFi4K{6%dd)?JM7p77#6mK^HlO4UlOeRY4mJinsD>G9G|ggAHxW6$ zqp?Hk3@-C`#HFrHmM!xgGcv=F`ArYXLb;~izV*(HQem)D= z+-hbYJLM|0HgK@lndX_}9Lk|+K4ci!+|k^`td|o4Eom`{8HtGeFHn0Q*bv7WAxg4Lo ze;ZWZz?gkaQea)E?v3kz9Guha&c~L%YCtEJ3?DIK1k-Y8&4`*VrxYZSGYI)(NFXfE z1uYfNX0xawscubJ2=k3jC5bW83TmHFS%du0J|4`eB4*dU+2EPP;Fxse0%UIX+0nH-BYd+&~>z2)z zc6@~O(`_~)v&mr~e0vo-q0VVI5J^h2x)W@4>VUMf)Pphu#UKsL_dEt&7DEc7!zFS- zME#QC+_wd>8C-D+AB4|xN1gn=&G+~d*OOUIUc)q93yK!|GrKC@)S=|k#JR2Bl&wen zKh;Tt^lK#kLgNwR=g^ zX)l`mY#i+ZyP5GIC!Dwo!B0!w@xGEd7(X4lsC7mvt*BXpIMF$NPEttkiacKFE}Z%1 zfALm-<6gOU<{L3T9J#X9cnskom0~+|lg%k9l0O{DLj;|QV)#%(QJtjC6jCA*0K(lm z><^5vPOAXFf?j>CP|Km@V2^ExB|9H>#H0RUCG@daimD*q(-Ta9az+$qq8YIsq3B&P zm$i~BW)KsIL#vrPP&X-#088pTOiFyJKd|T$*JHMoZ(~Kn14b&$vFcU?5q>bSGZ$qT zw+)uG5*~v=C6BdKZrm}kz}B_E-(+9NU{_?S%HhbdDu7ru_WBJIMBC?YVI-2?MQE5o zz)~W4KpRlYKv%5kO62{{Hh|VL+uq<&czu+KMM22vkDjz9&qE_PxmJ!|f`w(W)QxYk zO=3I1lM~hbx^~g~Er0fEFxCcaGQWZejOTz%Y6i(tka@oJ zb~ST;cc)B%sfy*z1}BUgYwdZ6Kc^d?$WmNiomT%qh7la9$;kOad-2K&k)RhOx#=O% zXjmOzxoUng*J;{|=Y?EpxjP)w|A?Q6;TIw;CTe;BNxYao+<{nJ+OmOsr8x^ZLN z&LK_&03^UP&}xVv(`Em>z?fbZ+YBG9=DAH%djn1}q69NR%`m5S6CeuSLL-V{LORKE zWq~MMYuRzHyer}ozexSr>e|SmF-~1Pw#?#x%qh14V&HSuIeX_pX{x zfJlxNi$9f)53nh zg9_PzRN?U-udBci%SvP}X~HjxGYvpt>K8dQ0$61b;EaWc@Yf4+uFcd<<(SaM**u|o zhW2GTQgbrItN(o9UTyj2oDV0)&CmNXdk(9Iu)1DZ>mHGmRL|(_T-QPnIT}Fi5FH0C zI0oq;NTz4BuoxillMYeDE!eSj0Y()71(m{R;YG?JCrnn0B{SVw$c=E!Ev240QKJvv ziE9<&YX2BiyaCb2983|{mUdfI7%>qYm?M$##FxurklwUv7bO)!TE+csXfC=h5MVZh zMgAHH#-voDS|CrRf8iJoO!o8L!Sf+YoTW9>qCtfa4a`6=NX8-}>#Kv&awI)?KCKRD zXk#{!lqK=aU)p5dz^dU?1v8ZcCy@{+1&o5wHh@_4qHDrK&AA^a2j(8=ju4tU=F3}J zjAjnIW2@>+gH8enGdbO$slzekR;WNS48+eKoI z%fP$@8tOssVj1#{s7&TU(=`@7kB>M=@{`F*$wE>fRH&3i!x-?Q$>1WB<;s}S26`o- z2&maRKl4M7fod?Y3RIt%Ov`G%uy2z^t<+T?X5+!Dy)7FiHg|C|`Yf%A5i#{Um(Wg% zKY>C~S-Fka+&ZgypqxoY6cdaO}b&i=h7O!*- z7jLn2&8&>n>Zkw94_C-GdV;Nx9@yX$VKcO;+&Ngw761}mwrXIZ%vI*3tV*@cJg?PV ziRi{{LDo5=9PFGyPTR4JpE9ToyJ;{3(d*+@Rv@0saNCATdCYoG4Y4LO zwZKvWG0Ng1sulM=9AgPK`JH1BElrsmw}G*@pva@ueCacPc5UkUKHKRfKl)JJu#A3? zH~zgxI=mKU`8vGyeZnkFgyikm#@8YwZ^2voHoWylWQs#3KGqMA ze5H0f;`6oBCCuMj5t6T!0u$UjdtX{so5~ zGY|IhtTa}dj$%(Odv|1|6AmsJZnP!_vB9ZaIcjYl`D?%Fzf>n$Be`2V%RrbLfdd- zL~*F_S_+-NEki#)RHS4A5_U(#d$5871_z$Ixi`=WaF&L4WN-nID}|xRSlGoZc;jQo z7=#md7eTrAtQJ)V9|VbX=w=<17nLle!~yNcawFR4lDz!NfHSGO0`bb zJbN{QOG;6uW7*y?=Dw9rG9g1ca0wGP7N6Bp(M|7*$d+_s8)APUO<>sp(oN&H&4U+p zjaArrFxf~gm`U>rOCE&_|)2I{;)+vq+gMUF}B7il9XuKO|o*+fj1ns2z<*Ctt2*;KqV*&j$-b#un z$O~gMsAJE^ClltpU8JL4t6&GmZeF0qMk+ZAx{g5!v5_?(phbX1K43@(fnbM42ML{i zE~6-TB)YGEkR7lW+#7rE4kmQrG}~*V_0$uq(fr!b*@~DE-X1&xm|Fx0tc_lp%ko35 zUf4Y6E7G`BMOiz9OQl26nMya&=$t^`4&aV!wvF+}dZ9IW9?{WlR!a=y;^4rZlJF81 zimum?VxE=R%!8f7g-T6tK~b2;_mUdrd6mrZn7ixjQ+12s5Ckrt&~<P4C5?aRLLM{gCqP#6I= zUC=nx%M%wQ@yLu?Hth}bd)FvO6YJ(4@YDqyC$pUf@dMk5{!u1fd>Altl+#e?q7VZH zfS`ZSI2>LcIsUkD{Dm@j9qQ!VDE^Vvu!f+{7+}}7QY&V1NSh@~3~k^#uY^t4n!+o! z3_!+;+=7>e6H;X`_q}Z#3iv5=RDf2aE>lIu%O`=Z}ED$O`YIBpKM^T2Q1q$sXo4g7XYyHp$uvAxa1b z=iGO9a+<8cB{3%J6hcch&QlC)aK@I3MHBd5vqLA$?IiCBy#}}tl8zER$ z_T^u`BPik2vQF^ej9cOiBdDPS1p-W>xxl?Hu&}#ft)<4{w#gI84HW&d3gX}r@`O{M zhe|Em1jR9Tm<2`9P5i!p6BI)hSo3uLU}k^$O9T8oCN59_?v1$p+tTr%a31*~P?JXP zQ1MRa2r*w6At47qfLjl#P?b`SrQ~RBCOF2kcDWzKu>g`9le%d>ngH+2Onv;`JjH{X zb1DSRG6mO@DHs)?Yg|)VQk-Gpa1{ukwDpAWBF?aG+vbNYF63Ml!<96+7p*XN=$A@k z>6=aZo4>~bAt*hiNO&{pkwkBpxMtOCk3nIfWaJMu%Blxsv5S}q`gJnu%P@dT8rXQd z>_IT_<_jY`ZopkMjpebce|7F8O8oB6G+k<9u%f_b?qiJfjP<9CRYhBXaUe9ME?`~& z_Ys-I=x*qw{esLo8rtBK=3#EmkTehq?*z32y+A35;2>jJ@}(Iu z6t25YXB}^xayX*`@tZoBrJnX_t8(AUn@4W#N-!~*y_y*(7U*qZ=V1#jaTS=5>0qW@ zadX?kVy2p0m2>CShzsdj12jSfqYF4?(_uWBrU?Ff?Ljq9Wyz~M?2ktyq%IOMxg1j_ zD)UbaMbBlA1CoCw1E1dMH#oqoQ0!yutbmCf4?BkvfEd|Yh)+c6;tSd7QP#ci3|9sZ z#`Swbkf4?qZP}oMnM|y;V+lzG2D%EfX55dt+)d_&0uZ>CEU=lgL~^ zH6|)i?v_N#QuAvjTV3($7*C{R0uOvNP<8bNC?_V$%d*6*Al zTT_jgGQBK_ivvX36XnS>k?0?Gx&YaIxxT;u4AsY=Rp+szS^!lASqq5wtGFhDaG7jB z23M*XCq)_~1()zZ78B?-m&N`#2MoVRoHpMYM-#FTC=?EP0Pm_Un{CqVv{#~$gYEoL zd9ZCT1@kp}KvDdEfGN?+wtW57P1V^ALvxv283rz@6Ed!-U>9nPn5Rxvp~Uz8GR%x-8Dgyppy7PThE*~45ejY2!q75sghDUuWCG09;8is?DGRSvBML91O`nS8&8OtBMr`SNcEqI$nY>r z;L(c;XZWS9TTLpnd&>D4dAUNqs~N68(MV&CDji)e4}LU?oOo(^j70fR``v081Q2wM z%#2ZZe>*4k?z|xC44IdQpi-GQMnPvfAQ&=ONd;H|a@I_{%x#R!{v&(%=3kMS%H_VL!L7ZC2jYpF)ULPXL}T)&KvO2kujMs) z!ZaSyR0%Zf!8q;hhQ4KL*n=v?g+BXzH~QyuLNg1%TTIUbMo>%aI+I{&uANNp0e2z6 z8oStN51*J?GCB4H{&kPA&Y$?PUb#RorhpsRQ)ek9pvlgkYtLQ*!l17>x`3(ysSx+? zE|!qtNmV{bHPw9y2(@94r85GQg8XbLgqcc;A1%dNuq1R&ZIR+Q$}NbK#Q-k#WoUYx z^KLU#lVD9VZ82G4mryPKRFY$V)O;WnjmeaO73aiqy3ME0KZjdZ-`#)_u7<&a&?FkX5RXfI%npqB66DVhV zHnlj*B7d~;%2u*ZaAS6WQLm@SWvy7f5HI9FnglB7-&1CqH-j9Dq6eA`!^vP=M5G}b z2!j$uGqqs_hsZ~D_**#Guwb%Q4+*b`$F96-TP0W?BlFy#Ip~yRRZSG#N7Kgqcz*&O z9Tb0%&Td$f9O3Lu&P2{-jO>fGqlB2J?2!*P8=n9@1Dcw8`|AA0XgC2ds?#n@WCu|6y6 zR8%E*$^uUs;H6FW$4co&WvdEENQh8_Ety?~pXm?2GIE%7y`=YlHZ>^feQQjw%jGX2 zt$}<=G;PbUCNBuxUnN=kjH{Y4gF;>dIijNH5>Pd|(EG}jwi&xD{B$Q3{h2>m^<%a# zDzPB?J|@TPQdqax&bS~}LO<$*m4K|BHJb^^XF1_u18f2T zn2aslDR0#w4m3LweJl&p^s2FMabA*4Mn@+&oFKDBP$U_ZvS3P~@Fq?nS@_gXmyS|- z3>v3tfG5L-lEE65HQ^AO^S5bdXHjAb zQ8KG8eb$A71v?9C(U7wOBWkB_aNXFs_yZi0`h7BVeQ&O?@8VCdlbXiA2RV8T#Cipe zmcgG4aP-~CKd$WqNBlLhaw{L=g*hViJCtU^2Vpr8KKR|dW#4wd<-Nw^}|Lupl z=m&T(Jy4kYcV2nppC0bDNF!ZIM^f!!;RN=S7|?5t5tr0BokPmsHVSu{l>4v^7|+bbtj8i>UXV6E0UnQ2!=N+RY{P;zugEC`>zA1qj+Rp0P}o7SP_#A~#17k- zjM3~lLQD^YD4y}fdIO9^9Lz=i(B~g2S@UrNFe^3t3v87i&^l%c3CeN|bB35en?~gh zN0Vr!1c3s%!s+Q?b5k964Gl;FF01XcIo8$zo&SC@>)hI0OYG zlM!P^+ZK3D1=(xhm&|ws*6}|NGNAk4@ZcI5!qGBOVg+Ebl>`^HOWo&2$Y4=Q{ zz70?5d`N3&>|lNLTI@`yg?O#=h&-(BVYrAO!KWbheIaIJ8Z1|I80+z~*%*qRc~*Bo zh!)jGLIPhfSPth4B14Mh=s1CQLSeceF6tLpM`bax%t~dz2aag;5+wj*wq9>WHUjR2 z02@|}+um0PamxcF6;0UGMXV1My^yP>9HX6v1ZI#S)02q_2Sg%>0&y6YR!1PR!FGX) zB=ah8(J9%&0hJ2JBX^P;+eeW~j+O64PK*ODJ;`Zgx~Wz23=Un>MWIZ-Dr&>sUBX2T zMbfT?MQdOJJch@hyO=_>@l7aWvrV^9y3r9ZClNUQzEU3H%7cS-s4S?C#ey_g`qb}Z zoXxM(gjkav$&O9peUx!2B>%gxno;OUb8Ha{W|ImNyXXdvh33&Y2__K5Xx!mGPJ(d- zGEtJjQ8(cJBh0GI0&dnvy+i_x^GZtPQv)i@I9d?l#PFyvm722(0RK9+>W)R0h!xd7 z7F+ZN_k!NS!MKo^xxZS8g8}p2yvg#Te41-hwIlRT#AsX8t`h3T-+U|(CCjrk zKm4%oo~nQc7-WOwXc$MV>-2DX4HRdG{zU$!3$QyT7y6?2e;n;Nm9 zTm1$gfV9aVr$P)XszT=>zrYFH*T^*x+8mYb%9x^aOp4;LKJwmoBRSLCE(ML0NL(m6 zofMeRVgCYDi7>oNjuph4nZB6T4e=~M5fRd@2fb)s43=74WtPhT^M{5dNzS{#?M1<+ zz}3|GrCnEjb}H8PjpGA2yPrbXNd_25;;6?vs3AQR^y z)l)!JZ8v-D=LZU9&DeaNgI$YsvBJAK!ZiUDp}>rs5n?SQ}0k_c-UYvEJ?`Z zl#FAuF=GpQi6M;^GfU*H1e}M$4fisoK{O_?`3qvnvk`#eHi$-Krmb*CnU72@a+0iW zf8Vu?{rRa!D~)Kxof+Oz$tqhb?TJ03QjDC9I*-c3>s4oeaj$F~OFD;IzG}r^Uta?g zYK(TD@JNel9ZP&Nr|MYSwWljWJzkMB;nxyX-@^o4pFnHDp%9b>dkuO$I|lq`ScuH& z;z+9yBaDh(vX7;>7iFTC)O4lYa`eH(YAq-7J@Jpd8aOO1l?HKsoKGr80OFeZOyxvn zs$P+c?j@&Ni~*eOtXP+XeL=>bY>=IHq+))dU zs_XZ^wXN|!molTq!_ih&p&T)W&p1Kh57CCIFzJ7zyJ65a|r42vCB^hZ=1L8 zaB|m2s%6@{`(M~I=lO*^z8hu^?)12T%m=Y+s~R9j&#@(_TJvti|&}(%nEr!hyg9zCheqFHK0ka~QCw zmPm4B8AxfEA(&0CY{^#+f8cYQtpn|j;gykn3R&KtPDXZfg#f8t5n#zjBiEpLV+XDp z7-!PgfO_PHn!O5$bi8c9LNT}zarh!CM(seX;7}O?H+2Y>1bHiZ;=^Rq9|n$KO)K!$ z3*aaR@gd=d`jKSW!?PqB&_>J%Rd ze7#bQIUQMD zauHe{I=PLY?3y8I2}5!+(;{`N=>(Jw3n8I$aDq%1U(;@F!atw-y%cu|lGt510gLAV zLTI<)ebI%bTKVA2hfI* z#%wV?#}i)|1>+j7Kw=NCFp8}#fx?4caVsWtFL&v;8;hSlz=3CN1QeJ(kAc7@O2eLj z6P0Q3X9!hv6L@O_@E^fcm&Txi@jt5P#1qDOe9oXlTR5A+eKw7wWdYaFu&#{11bGU% zPzR(!FyxbH6`FR*~fAtH2v5bM4tpn5-_Tbrlg6u@A5ILwE-uFU` zmyY?FPAaxM;u_cA3L00j1B?Q&qjm(SMCtEyWwV^Nw;L4uN)6*9#UCOdATAIJoIl4d{p z)@+DSn2N9+=L;)iYc0!Fv}sjZ$#fVlC37P9w3olh&uVu0UGKcZI*`qjBd=t9A-0~i z(yBhVSwnRs0mfO|882=Ug#B{YPljz0EC|i>qB3~1kRm~9n6tfI4odK<<7Rqz&^mnZu3aNF&msZ1~N3+ zp3_>l!g6WmPy*WDGP!u@`}I9d*GgAMakugHm2Gaz{Y7rRHT}(y#tSAlg<8n0ePrE8 ztdBCBZ%pl`lBq%dm|#;9oNvx;=Eq$)KFEz3eGmvBwVM7`SKsPwipE(5&om@7OMyWd zgTLQc?0@Dp(5MnmxKy+aXJ2Ke1~>lir}+H_?HR0;VlD?QJ2JuQUsqbD#tocsc-MT{ zk79h50Ny~ODit+yq6NBmn{3i(Z5>9s$gbQX3vpW%Jr@Dvf#SgTw=e5Uq zk}rQVj~vms=@}&MnBbONONiKb?ejUI=#3jqE0oejRRBz{X*oxM*7|q&(D(#TMVxGzJZk zC_K3=_=bP{FtE9?(JR{`DiJ%vc$2q$*}%xnryzBvhm)Mc+2#M!-q}FOaaDJ|y44nKW5Z0- zm_``8=FypyM*c!EM(c#7yQW5Ni2=#RfX{}-^5DbjkPsUOvMkv^RjWpfunaP5z}fII z7K-C!53yss345|E8X*VeKw?9jtPdv}e}tTHvdg-~Dmf%)H{Rd>Rd>%u8!c1z_HCdq6{&vz}Q?tZh8+3s}i9-gnFbhQn66)6E55I zYGHWkkYL#v7TEi||GEt@gf+t|p^Bqc!o|jLKwAh*IEIoWI$=h*95}R2b*&32@nT(z zWOr8C6pWe4d6@oo54)0?n}6+^9!+Y6IthhYHi>hFhpy^tN+8PDxtvl>CR+HV!Zm zIjAP4hRQrQPlFw?mdl0@AZvxX69}51Q*>>fS=y{OHE4pCAy$UePg5Wo3_jW@U-r*u ziS=|MiVa6}k40T2I}v!$5(Z9X8ylPTobV4eo5w5==0%NzO|~uQH_h<9rK_7Xzf)1E`X5-`Ro`Nf!(WW}dROG~2(pUpo1f?~Ao>gdqLoJYRAs&its zuU3w2iw|3EU-Zo=2O(HcyS%!`XRpY+#j^}n z?SLKNhB!OEyFUiIhBM(!>@>}dw^jGV`}XBSGg(Euc=VRa!8@^2{pQz%I@F*uB7QkO z37&MZZBdoS)^dJk3YStMiFq-UjvlSVP;(RNQ^HV1XZ zV;IgFE5uf0ZNVUg`X92IqeY&)TL|wNcH_hTA-?=6at^D7uo_%H#R|`1OI`oe^9xmaVe`%2RWb=ZyFuH_yLdo8!hc{f9NU}9CU!_ z_HBRu&VYp-o!;@PALA0<*56{a#{1aR^kI%wFmpzq6GyhHuaT)o^RecqJ^wpXG~`v^ zY(cKfXz|imw{+-uIFIsfX4uSN2MudFtV-m$r^n35`{vZn@B6>=8fWJfl_%l?=Oefs z^KkQ}%%xG>6ql|K>MS3y62fxDjBcd3$w&ZW3%4_XWa)@jEBVinMX)0f*^W;Xk zyIANyCj-ac6|8B&C{mXC&%J977IAH?{VhajQA3#5)Pjfut3s*`C+{piCg)b|IiWZH z>RDs3CaRBo3U%-50ZDM&T=lZ+8<4H@YLo9gIFiRcChKx}2mWyravLiKsBfZJD*;-s z2ad4Z)673q^nxHn)C%4Ve>9=w2o9v8C84iy8DoJFViMzlY;sXCXV8OP0J}*qK6UN5 zGZq^JiuFDy5fcYKSKX9jJ2vFb9;+`7hkNKCc2AK@3EkWL#dHsGX#C(_b`{hvOxk8b zZ?>7>p-fpQawRa{2tCB^DKzXyId}mRq5?}He&gxC&LhrTG#iV>3OGxl@XhU0lXd~5e{N`)+rGO(*r0M6v2+wUoHTa%M9 zm4_NEz`$n(2IWl~z-E9o5l%M+wG**}5-)COP|0ZPu4zmg0|1`RmI-8)<47<}9SPF| z_)@$3CZuq4Rh5th4=y75l}&drR?oG5^lJ>oz;Gm27YxIwHL73cAK`$e`T^YYCi@etV*a6-YO}Zpy8G;Dax1f^CNvA{(0FltL^GCjEYB*10&ILL6!m<3{-pG>7sd{x63;&N^ z$;;Kv)42jSd(`HIz9{ox<46BIwx=)>tS=B{ ztkP(dgF>)_QDhzQae{9gu8l4e5i4vV5iZX!~z>CVH*2ReeIrZ zta&e12l+f7q(vgo%iZ!vg|BjThSOu_@Q@kx3r>k6(x19m`s`m@#S$NCfuKc$q1cRh zoCv?TbioCU6O0xI3K(j0_H9Lh0D=?j;1srY?p3>N3rH1bI7L_LyRj;KBi!h4vdUxR zcEmR^hdW9xvHiwnkDjyD-C7RWujfby1MkTBcGC=fC(a!_#t<{63CY1pZaq0ct$-P} zA)ukfqE_6O=W(ctC3c3H8oh~BT2W6q$A!HNm{{bT_FdC7%?SVjJ_MH2`10#C_+|#m zi4?}5LtK@zLw@cw5DgP(MIF+PFuhxu@*53QKNrF%&#aL73TsEMVYIJn9?vt}+*;+pz?FAa z9Zfk=P!LdwtB*8t&WtLiXM(yjgJ6Yd{bXEDvk(3(0vI`sz>q=r3Qnvu^YWPy6-`4% z12>3%gDx=tzT3~`+))rPU8RkW~{<$+^WV9GH@uX!dPfY5j8fSA@>mfFb@ zYfHvPg3V^HX?X5Nq=KmcBC?Y$-v%?oLKpzgdH9#lst4>W4wmW68x(7Fv|2;S@o4F$ zV^7q985wU!zCNxESEtUAol=phCezJt=P=Nzu07%gcvV`p&4IiZ9LQ@u0IE^H#gYum zLMDXnQe0*Div+T^wlU;zP1Sv>d7K52jh;VTY`8;()>qy|xr}oD43*e4X0A%#U010dzx-Os2`9ZaUzZtl6^)QX*=8ARCM#)6bX@|rs2{tN2Lfv zn%(BcaN~r-KCDOE9UiVm(SR4+TQx|+<=et)eCpx5G%teHVr8Jcc9kGHuwk|)jwaXx z^9`@lrK6C-eL@D->TG!;CUrA|_GZu^f}0;Jj#xCp2|upg)D)1sB$Z4_>*iSdK$1dg=QP6W7#{?~ z{Dk8l<@iSHo*`v1;2qmGx`E>p1WovE9uN#oIRK*S!zxUL9AY#P100InAy%ZQEaU*r zWF>$|u$bW(!1Lssf$AQ6@KEPB9{CydaQKv1d!7bpX^ zTb$4b2en*?1FhGmM3n_@sROpnB%}d=HWy;T>Jguuj(QEUZ~+rTT;ql}=fDWG>-efA z6vL^^Vo1U%exW6C0gEOqzzMYIq};5as?2#6?>Jb3$f-!GKzH6y6+9(iYYezKm7#N- zELA!6gc0@HxnS#>X8tG~oMH_Xgy0Xz;7s+#b%bb_9B!$H14Gn7o7KtmXFKC15u&U!B)Tx02#(#hydey4=RKsz?D;iXpk9=Pw@>gd_mN*y? z5ivCRI+StP$3?;eqSOkaKu)M8nQ8@}$kzG3I~)|ZOGUQFqC+hBZnZoWocupDYxF}7 zCmU_T>7iPH**tPadJvqt8MZSlaE&dJPQ*%5lasU*GbG?2s&C{~B&f&YDSt6p`MWga z{>@)H$EzQ$*6ZV(jVqxz&)wIg?WydDxV22Poj-Uh3lyBpM05V}YQW3z@#+kM=G9GI zYZ!D16TTN2p42Tkt_+)bGWIlHVkL)KK^HaA*xN8#Bqhb3X2jy~!TrPew|El+Q*o66 zaG`wJKjvWIDA(Iq`{tPb-9yg#M~0xazRj>#Sz<%X%os7)c;1c)e+P(~yq0bJsvqYM zRk?g_4Bf1mEY!U>V;2vBB?bu+j1cP(y0Oedm1y`AJ6XJKOzdUce_-q0@Tq3Oi!X0X zfMdL`TJWQre`Z5a_gFYRVhoePty)^QQyq3N#N*@KU(?Kk6KsCL!?+mR>fD55+Bw4H zkl8mAlzhaNk~iEau+YsAi6+D*O#oQ!!{K2Q9vSAZYNmbPLz}F>jUCnPm3xOx9I?J~ zSHq83TtQn={nWjG#PZC=hmCU;lT`2;d0DQ^yoe=|ZytY>+4BBq=1n@$@`V5K{3Ow8 z_u9)#&F=;}@p0{+1ZC!2tP9J8?|Kut>upG3|$9|KB_ zou~f(ILAtwN-~CV7st{0kv;y%XybqJ0?8aN5p)j0#I_{8hd9f@fdLjQ?nRs^0$yWe zojY_a>eK?p9i8QpX__35i7x~r26jycdt!hKm64Jd&JlqE-m_A0?{Pyfl0T%l1W;enP@^g8t>v&pY~ zmBF0nJ#^g(Fau=mi1F%D!L*yxC1ae6A7Fz^<;)tJN;9b2H6B_;5Vwn3fO4RPVIONS zP=d*@{Xnv(aNa|&VVw6eSD%s+AfF_#=Z0V3zybz^&6DPKH)|@5BU_zIt8685q=j>5 z5Xt&VK4cM$b&Cq+RnwSLEi@oh6m_vfpGblD;Y=b{R74k9*%(5+US0HWYjJN1A=$}k z#CKds*Ga{8#tPfm0Ap=pj6*yeRMVBdC}z=Z+?EF;&bmv_SNlLU&a*_cJD@40fP#n4 zMbGxgF(HoDaah$Z7I3h=wTdaPtf@neYcwF4gc;JD_uW*9 zj=!1a&0dCLGp9&o&60xX+Nl~DUqWX(RyZ2#&ea&lJ%W%+Y+3|h31p+AB?EGd$so?T zg<1rAk~IddRwWxKpZ`$}n03Z50YmBNa48sV=7Y-^xv)}nGU?>@{Flg&4*skN_7Z9Y9)ET9pXqY6J3P zKp76l%-@bw+1*q~L+Bz6M`IsG)8RVh&;Gl9T&7x9BhdW&OUtR5-k<35Nmny{y1J@Y z1;n4L4hYTLsH%>?2Xg~f!)ymiy@lV_C2zC>YM9jF)8*6U^3idfxD3kGjMG?Ar4-fK z$Jf5R3W2!s_L&cNlC1srqc1Dc8|a{%+Z)J0zsg$PD|g-(1WzTF%8Z+v4n?!--&O`Y;?*r zskaTk@ybVUKG#qmcI0S-aAB@ipxz<aJMwl<)^WC} zS;%X+a*!MtkAX~sQI8+{jeUW~CkF?bTN|w87aX54V{7Pf`12Yw+(wf|!DYy##T?D1lJy3SlZZ@A510+TFb^erYM2k=zGE1!R!_a*0k8?^ zW~hiNI>xC1gY`HER(5uQr!9W~2pt|~PgC*W1AD4WA&r15k}q$_)F2jkmD*$9(&-h& zV(t;yvAI&Q7FE;}*UdHZ5=9#Fw2ortv>fRa7YKW7d>tcVD&wB~!lR)bk6R1V6~HhN zU`ht!K*HJByd~%}@{syn5Jg6DLS>*ZU7DoO*z?rDgw0niQiDEgMkG6!b4riD>cw@d z>6IA`_;4SvZj;ievhD*n_HgT0stCN$unh6v|RZ6zSI`_Fc`-`mr&5S`F(QLSr5Mc-6U5?dN)f7)-#MA+gi*-{oCM~Tm_zdY9lEd6Ms zxO4=|0-Jm72fqU}7%3MeKYF%(W<5G@y!I_cdrG%YL>W={}UC zsOEB&M1@yHyMN+rE)^HpHp@BD%Re+El7b1LRjXVPe}Y(6Q8>W^MH`IR*x-TU=#NG6 zZ1CVKxIN$`p)f==sbz9>G-}}eg6vecKLANik}^_Y>*t+U{Rr=H!ICoa`;U)wy=C#cYa1iBIP?ynU>BD*ajXAz%8i5Z^S!5?MWwujW8-72_iIEY0nVUHiPg{ zFm)82qIF6a%y1&C7~bLIsRSrufO+wSAGbQR*!`aFX%0N*`=LFiM9Jki(0%uZ59mm4uv`oTe0dCD)PjT9VkK$vL zhdBrVpZh`1)l&(G)>nR-0J%s7)@`bsc&6=Zf4YyP zFYSDSSm(9-`}K#JEd+JIOUIG}D{PGfb`TWoyKN`B@oBh#A`}-m=_OCKXR zo~Z*cqU$c$wgi4?9V^nf#CD1n#B4pG77yk5Ra4?CNSSBaT(Vt2uR#Ejo2vDv!C| zwsp1QuzQNw_YZbj-GOb2j;2FKR|L3;f9vUAuT7LUZ@k1Gz0)6f)Gyo~f8#_vaN=NX z8!A?2Dvv}%`vz|;Z7aXpyeIP8F+b;D9$#`Cqu2+>i|ERT_tlFiaeH-TYh`da8vV}D z#Q9!z`yCUHm@AHNE{s0rpSu9)p}{3%j?ahr$|U!rTPM7#Z?XKG|Fd^5khL{@GF@0h z>iJ1q@3>~Z$6CHJ#8%3^jAI$cQaF&+$`q#b^vXEalRYVc8OKtX($g#BSWot(1ZEsd zVMhAn9|cL<5*Ajqy%Oh zOJPb+uZ&|o*^?5OaV&)?J-srH^<+;8thV?EiE5}0u;g(*F~GLH3RPfB3Mu@t8C^vXEalRYVc8OKtX($g#BSWot( z1ZEsdVMhAn9|cL<5*Aj zqy%OhOJPb+uZ&|o*^?5OaV&)?J-srH^<+;XdRzscDnuqE-Dd;N_)~40! zV9TNFFh(07s_opNXlUrQ72ZmM8jIDnrNY?Q*Gqz`?5 zR)?RE!B61Z&dpUKeW0JPq@0}+$txF@KHKD4UOz9LmrrAF@3U9S3t^&K*K0AKAuneBWE7`*YSofP-C>`8ovc z*F4pP@^Nzz)If=&bYpg%n{#uo{z^h_+f%&8?uMum{)rO=zC?M~NxAAk1#7$Tx(kvR z1JbK|e`Ui!P=S^G~f|p9%L|0;x5AhVSR62ie zbnwP#-yM~xQYm469hG}>Fhy=(6wOo)ytcA$rn2jU&yEVZHX%v2t9iEm;#JPTI7ZE2 zV?COw<4_D-HMvN(o3PDNAGod&h2Xc`> zR-8?R5X?T`NQ4MU7RB`l4gXTj&5NxTl>BVjTMG4QdCbGT(JJ+H2Qkj&V3MXZh4F4w z6k}duPlPF}S~1K;1H~`?`HM*u$fy{$$U16{@v;v3g5=H3JI)T2LBq(l^YjZw=meLa z(WkU`+gjOr>qq!Rz?9quDVPYkHUO!bwh)phoB;#40g6fnL-?L`R-g}|)VyF(Qb0R9AY1L$#syo!Q#Jz64{Fa{tY>(x?8-%Z{xZzh z4RTeGzFRnqg5Ly)=Zu1x#aBk>U^e>Lu@F`T&qT6^Wdp%F;eZ(#Gn3IZt){V@`G71USSB9pz;yIlk?;qQxb@pnAbXS zwKE577v%gGK_o|HPnx;;Qc`|Rh>rp#gkh<&4a+`;4h|z}#pv;Oob46~3Kgz&l24n^ ze$ROzY;xdfe{Gx)YU~TbvZrbN^|;}E=OS)F2Pi%+jd_x;8%fz%UNOhmZ#PGjvpTen*!ZXN$3H1k`Nl9NBNg+SO+Q< zvKx2yMWN)^&eFI_Z*fztRG`gMs^)E)*316D{r;XHuhjFMpaP&B;0fC#6Y@|l)*_ls%zL!w?`i=O zP{$^_!Yq@B)d34GbDZU>0Aj)c7F#0`SZu(2pc++Pn#R!X@okQIF=pK2;o?DzOhj~3 z^!<N0P5DFmVJWMcKDPgaVp zufDVPfmltI63LMnl}gcZb91ppEDAsbXB!daswDi{s07unpYr&Vt#XGMOYWm@e-Q?U zu(jl13`z+F8+O~*1rHI>VH*UIkiC;7r5CR{$)@MIYhfA3#BRQKQ zd0qHakr1BzfwBG87w=X;O@u+BB38GE0~N3TZCl{BtP@ya8umg?g_*QDyiJpbvgz=w z-3Cv3O-w@-F`SJNbG^x+K z@+4x_Kf=TfX|Y84{Y*ys$|_ktHY? zSbu0jx;p$JOi@2rfA_xIo@MZ{rO@o2{TP2 zPu5pp{AuL2^Ur_m!~gdo@3s;qwNL5y!h=tH-^b?Lh4ItggN>(}CoA#I_deM8?tN$P z$ELI`lZ6iox9i%qn}W2x03sn-q%We2pp)PSNidkL#y0aoCSCiEc@eKHSujPaG_J3F z{inN=PZb*WOuMk>zhGmb<7(-65#0L0Lr3pKo@m18au}8hnryepdNRUpU6`|2)5B21 zs0ZrR5K|)BF)Z;kG*drnhVy$(tijL$D)>G~$tM%8lW)Ce;P}PVTva2d9Aex7htOhu zhL`SGUc(Lb$o$y$57q(I@(+_rN*iJ~kkCC%!yGLJptw*gwyyjkkiZx_L8KK>i#{fQ zc$IV8R4Bj_0Xg|H@CY-q3P(h8Fy08$BWO^r^yKa2Py8bwgVfcv>jly9fA59zU>o3!SODKdxX0;Y`-rL#oqw%FhlGg-a^6X>;<|MVS zlAP(MolD=%L-;0ZF`8DiohTxy@X?4(2`vO+Yd`SUNRvN4^=hY{L??#Ds`Z1vsTIOp zNk|KDiOGxwj`?L+YD*7HAyl~Q2Nr;2I~#FDO*mov$4E87bTvn;T`68UANH| zqn5+SspM?4d1xe0c1eyz#1nX8fO51^?6-aEJQB&_%Xp7`7;_nNt~lN)N=ug>P)Ks| zNKQ}w+Hl+Kq2}7^1jYnalTvhUi1PJHQj9j~oW~sITU-;^Nd$qmRPccRH23X<7DH4jk2ur1+ErlBLE47Q<5pwxqzzGD_uPNo;-ML?X}7KrvE=;)mi; z11NDz7C6|KBqw2n>t@R*|H&D~@IYep9U3!Hdg5j0hFY;J*?(QOP)2rYbfF zS27^+O@=}o51WzAONscjzNB&Utp`8h=E8`I$byYE!$a_Fe7!T4R~qX&2zI_Lbg%`M zxCO=hQ;y+}-uBT@3%o2h4h(RH2qgTOl=bz*7}ZVDzO(ate^Q4U{KbbXtG%3ks71G;5@DM6Huhi7Z|= zwchv(E%5-CJdhd>`)eO$|M))?0VwK`=?JVXBUIGjM7WJ-QQ%?*d_9lF6*o&_@vk5~)?WSj73YuxDc?tT4)eSRikM^z+6YFRHL~ zD|{(Dt>cDKL|NJh%($ozwxsC7$Oj=Ib<%b5&NnrOa7eIW^mLHHf2L^a``XQ+o#0u{ zQSZFrCod~jDq%z>rIIVTaanI~mxWP>PA%j?j(`A=^@A%yMij#{2sGkU6S5^o2E3Fd zU)JBU1EvrTK~Q>wrMCwb;Bx>1L-&o@l_I#g;qmyaO_t?ifFn-mPnNC3e>+nV5Te^pAnDysMlEF93KNu6LCvP{AyOL| zUgd)@sHE)IK(6)OOPywjFjLiS*V~>LgOb3BCY3Ejw5h{e|1D_*sU9I!phdVylORye zyxG87?y^_pSzlL;mnpMNInkE1f|8E8_}M(gDN(2p08)3XHDfzBgfzT(PAAE1B>M@b z@>fdp@L#={jHs?6%R!J;c5QToo&bx0wuB~C9)y*tvg1#$q6Sta9=3U~48ik;hty9b zZH)z;w2?H-;0`B90=M|!3G;yl+g0@h75K!0H2WkY^5>HVO2uRg%)Whjygh-!1g@cI z5>vfJBF4ZV^I8PFj<20KpJMf24oLSKq1Cw4(Z$feLv5I!Ll+;w=uD+S0PDcO$9}PKT2D@77JYAw zzC8N#7mqwS^W#sXTJ%Nga*iwj@?xJq3r5>-_pdN;j*H}wmo41UQ>Nr8OP zBie{crKDj~-5(K2=nQ`STJ0a>=>2SOulIx|M4A|h0~S>oHY7pxr>f9Y05vVj!$zY6 zgfG+pdU`y*zV|#Pc`mIIKaipN+i^C9VL}XKp=T%t{FDXxC%N!ODEZ3I7Kl$2V?nfj z?jifT=s}Fdu0HD}2w^^GdjL!r;976_v)M=s-;yW`eHVNuh$Ws6-`Odx#gV$ib%Q$C zBpzYjqT9|hiT%Y37m;PdDFOY4NoZ2sZLs*c6omwYUp!S%_5n!Kj`Z{o+q1Yi1KxJf zY5%k{hlStx^!!9!peovKK~lusB)m}Ma}Y{skw+WFmN_^Vs0C>S&J|5vH~%XUoLQ=8 z2_``+cx^Y?>Axf*-HvYyrA@^DIOa4@A52P2OK5_BEqC9W&q;}5z1sOL6+Fk^vRmJ~ z{_WXwyifaemYMBBNPeFAvvyl?+JF>swlYipR5ER#H~*oI1f;rljMT7M&n_f+J^h9y zq0Oc^&9~Jaz_Mb|&4b!#CD19~{A72ju^T$?WtQP{z32F<^$W#4x%&<;DI-aQ)Msb; zPl2|}bDPvex!TDcpI@@uYST2IG@Fb{rAbxWC7qf z_Ep~yX6I9kezk$~a;xzK_ zcoTyUo^6SOaqh-(+=`GKxcC;xBmNYbbMFxJ0}y(7=Yd>%Eupn=}G`CiVI{Y zwKF;!_RL$myjT=>X^%PhCA~p1)Zk>r4NK20LVEFJkE`JH7_K8McG|T#>J6O10jEU+ z{H0SYjOyAML>m$9pHX|e6Nj5GKATOeCGuVfY0=(P3PlqjtNk(?xBSJ=7TB?Ru>Mr@ z{dT8nqG>m-uDJ5C#*g>=uPR^p*!4gD*u;BpjBcO2!8@!Sp8xvLVQug{$PfEF+a9dj z{T+Uj{T*H=nxCxyc>n0Do`*oZBgw9pzcZnR?_Kwv*IZiW6w+BiZSI z>BB-qV}-6=XHAlfvAyXKFmUp}y?%wj6rDyY0cQDNyNfpP*34Dypp}DdgGef}P2PIb ze_jcg?mn3k%^!Zt=Z5po(@$UA3I`MOFq<=PY2)&Ey?3E{sW^A}H9z#0-|7u)e(c^~ z%aQ5k)6%E=I?hE~+w+pNL@V@L4zm@3!_>0dFQ%1SPyO+VGVd8VGXmX{Jt={3teN7y zDzDnfzwB-ytqQ=rMC%%}sd=cb;bY)CzpINQ%MR)14cwEV`Es*>lq4tr;RkELbwSd8 zSGIo1AsY{0Sj{AT0eS7uez%IDyf6 z=*E=;TPlTGPU9A*JZFmGfBRq0NfLwLfr75j=^w8Hh3y5m;Fe>abw6;+`1tifzup+E zmx=kzKfPp6votvTXtPim9H~#l<7Z#sAFj6IK`%Pw@4vo$;Fv#NKN3CJD%Ho&sd z`j07UW%#N1*quj*syp+$xH(na{DHV}hqpP-jo(#yq;%x&9l7}YvW{8Lk>Zv9gyE)CuT+V@98$C}$u+*zA3K4>3g);}s#&N>=L^N>C?XsXXqyumH? zcb-$*JNSf{b>BDaF`T8!ct!r}xszEDAw47ad%(~$vy}v%F^FYDtd)p`v!1Kv`sl$I zu@jc9U(EV5wy5RkflogCafelHP7EY_CtP&uY^$t#c9u4w+pT-g?#b6@^R`8z*4tw} z*IkOVkNXvKr$o=+d7JahcmHZ8=Re+Y&C(gIG$cc86&&l=%+hh_n6x zKvW+^8aBN5j=r@h+4joXcP^goVfwQ=!N_)3p1a)@?mwJ%o599FZ`XSJ_xd$V=hnn( zc2}0dZ#7-+l7r$KF8GO#5|^Se8}Tg7nWaKjBNt1->SKp$i_-1eM&;{Qb=Wwa&h^Z( zbc|Qw+wY?6>)>@2^rR<&%KpjNN;;BsbmsUXWc?bVJI?>xl#S4{24#+Po#rb-s1>wl z-$K@}QQeud;I&KvtsuD1W!b-R@LGDI23NR@YR1`anKA>++nPby?B9v}_eU>E^Ok z^hMqMG9G;%^DL9w3W77lR=_M9HRIR|jAPHvDq-cK558!nj9N1OT2>zx0bTC`Tkm@G=j$hbV!AVWvtzx>MQ`j+GxUtANvFw^-qFOdY`LsAj~0ZU5kgiw z`I$Qw(7lGwel|Ykn_qc$za+M=XHK0=qlXodtVvmMO@U<^f~2aoSo1#j^Y_SUdDkW9 zWvgY&t(L7=+LlVNzFXF%uwJqM8PR`dmuyxn7yTTh^jpTk4UEIyzMLPsXw%r`F!>I=vLu-~H?}ra{TJvlnk?x3VLr7ms8|PVG_trTdl@hOCcq z*n;DyqM^0v(G`-?Bl_y8OgX1;Sv|Y>)`Qn6L91u~Vqh8}_G?s^9XVah-{pMzT$cSC z2lxA6=laDg?92T0In}Q=aJEjiestgZ-N)}+ac5^v*S@s=Y~KreR;L%)BKmW-h~Dpo zl>W4=>Oz0wdK*&vaj8W6`9Dt$TA`b`VEHt&8f{aK(QxN6_l@VMoQ!WvS~ zPuih!!18Z?*owbee|UE{ji_83(9Z^4U~7Kq<0!F~d*3=e9MLJuHFHY<`pB_0-LPCl z%nKedt0(e(Gm8jcjfbs)kNid7loXZ+-v0}^+8J-SrtI8ze&6&fo;eLlj0itFN3yd4 z`U~37A{X8APhdasw*KjT9K8AED^?tkj+REkxBPW>5Iwd0m-KwWk19m@ z>ZE@Op7on!*&_N9j86An*JYWG@+y4$Eo7@eVQ~@-&|9DB(~%iFLVx&c8>SZLvpS#b zAiCw7>PNqt6z5FQrq0Z6?Th89Bm8Ik9Qw0oSu(RwGT*ZOdbCiY#l}?kOW*3>68acI9kVioOC%Lt$O8EJG}f11t5* ziZbI^3I{YYWhFGztF}H=crGfT^;~U{n`{N$aj?1R92GD0$J&2(#O1j>;3}Qn!#J%YA1YTaH5u!!wR8I%VSl38anlBGdjB@y7D4eAQ&qLFek;j90vFE%($f$j`c-gZ5?clzoUQK zu)4{_vR}4*ewN#>%TC!9(Y2p?dETBtubq7KyV(%^IUAx&w{G7mu7k3z{*dPZbX3NBVa` zEL)B1O7-c!^lgwX8Ek!~KbJ8r@-O&yQB>+SQ|*kuC2H$!UuIFT^vs#|BRFdxj=#VT zq1R4+!OI5e%MH@|H?Hot@~&)gsQR4uxvv-f;ReTv=K8Ge-PIlG)^|R1+Nqbtx%KuBo;I*$BhE5y z*{IG^tm>w``EA|3heZ8oHzeg{IcTl1f1Q$Q39nuoKT6X2?Y<~gO>$oe&z8Wq>wU&G zXUhx5auuNEU(#jYnqO3wLxQroU|3VO?W1kmLcO~AWJ|G&Qbc68PH$#dPjw6J?8Aan za;Dq@o^H|i(DO>jWyev5w%gkhc;?{HHB-({`F9MFh4XS6grM$>bH<;O?oZX2T!J?}?&dH0Nx} zIb(%?_4lu<)+$9eA3FoZ$s(9`oNe~&ZXwJ$7Uzz?{T0#!>`~;k-aPB@(zQaebRPeY zMa!X@iQ&#b9!lFPZAF+kCJJgDw#4kohB=V-T$>+Zay}O}-KheeVX@&R9BzFip)HBA e#%ESPSIWTW4acTdLRl?0KX&U|uY9I&?*9YIe#8X; diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.hex b/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.hex deleted file mode 100644 index 444e0597..00000000 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.hex +++ /dev/null @@ -1,257 +0,0 @@ -:10000000F3310088ED561833D55F1600197ED1C93B -:10001000E52A01867C0F0FAC0FAD0F0F0F0FAD1F40 -:10002000ED6A2003213C73ED5FAD220186E1C9FF3B -:10003000FFFFFFFFFFFFF7A9C300012100801101AF -:100040008001FF073600EDB03EB8D3000600210066 -:100050008011000834231B7BB220F910F1210080AD -:100060001100087EA72008231B7BB220F618053E4E -:1000700001320086210000010010CD18012A36004F -:10008000A7ED521805210086CBCE210206CD7F02B6 -:10009000211B06CD7F023A0086118882213406CBCF -:1000A000472803215206F5CD8302F11188832134BC -:1000B00006CB4F2803215206F5CD8302F1A720FE7F -:1000C0003E8732038621808211818201000336003F -:1000D000EDB0CD4701AF32088632CC863C32CD86BA -:1000E0003E8032CF86FB310088CD1602CD4701CD50 -:1000F0004509CD2D02CDD102CD5A04CD520518E5CA -:10010000F5C5D5E5DDE5FDE5CD5B01CDAC0AFDE14D -:10011000DDE1E1D1C1F1FBC9110000E5D5113600E7 -:10012000A7ED52D1E12004232318167BAE5F7A237A -:10013000AE5723CB3ACB1B30087AEE88577BEE10B4 -:100140005F0B78B120D5C9210486DB002F5677AA32 -:100150005F7A2FA323777AA32377C92103867ECBE7 -:100160007FC8CBBFD30077C93A0886A72804D60337 -:100170003805060010FEC9DD2100871120000604A5 -:10018000C5D5060521FB08DD7E0786FEC0C5E5DD79 -:10019000E5DCAE03DDE1E1C12310ECD1C1DD1910D6 -:1001A000DFDD210087FD21DD08DD7E061106000F61 -:1001B0003804FD1918F9CDD4011120000603FD21E2 -:1001C000F508DD19DD7E08DDB609C5D5C4D401D139 -:1001D000C110EBC9DD7E01D60347CB38CB38CB3815 -:1001E000E6074FDD7E03D6036F2600292929291152 -:1001F000008058190606FD5E00160079A72807CB77 -:100200003BCB1A3D20F97EB377237EB277FD2311D5 -:100210000F001910E1C9210786CB7E28FC3600C9E2 -:100220003A0586CB7FC821038634CBFEC93A0586C2 -:10023000CB6F2009210986CB46C8C3E5002109867A -:1002400034CB46CA4E023E01CD5602C3E500CD6313 -:10025000023A0A861805F5CD6302F1A7C47702CDEC -:100260009D02C921C582110A000E1406063600231C -:1002700010FB190D20F5C921690687CF23666F5E33 -:100280002356234E237E23EBE547F51A77F11323FC -:1002900010F8E1D511100019D10D20ECC93A0886EB -:1002A000A7C83ACD86E6F028070F118983CDBB0297 -:1002B0003ACD86E60F878787118A834F060021454E -:1002C0000709EB0608C51A771301100009C110F5DC -:1002D000C93A088621DD0287CF23666FE9E502224D -:1002E0000339036E033A0486CB77201B21CF867E29 -:1002F000C604770E00F2FA020E02210A867EB9C801 -:1003000071CD4E02C3E500F3CD7E03CD0C04FB3E60 -:10031000013208863E3C32CF863E03320A86CD4EFD -:1003200002C921CF8635C03E02320886AF32CE8662 -:100330003E04320A86CD4E02C93A0B86A72016210A -:10034000CC867E3CFE0B300177233E018627CA0710 -:100350000377C307033ACE86A7C83E033208862137 -:10036000010922CF863E00320A86CD4E02C921CF36 -:100370008635C036102335CAD500CD4A04C93EA8FB -:10038000110B86121321950701C000EDB0DD210C81 -:10039000862100800E0CE50610C5E5CDC003E1C145 -:1003A00023DD2310F4E1118000190D20E9C9FE7945 -:1003B000C8FE7AC8DD210C865F1600DD19CDFA0370 -:1003C000111000DD46000E00CB5820020E01CB506C -:1003D0002002CBF9AFCB4020013DB17719711971E3 -:1003E00019AFCB6828023E18B177197719711971C6 -:1003F00019AFCB4820013DB177C9F5E6F06F260073 -:10040000292929F1E60F1100805F19C9DD2155085E -:100410001100870604C5DD6E00DD6601012000EDD8 -:10042000B0C1DD23DD2310ED3ACC86878787217D9F -:1004300008CFDD21088711200006047EDD77002328 -:100440007EDD770123DD1910F2C92100800100064D -:100450007E2F77230B78B120F7C93A0886FE02C0B9 -:10046000DD210087DD7E01E607FE042058DD7E03E6 -:10047000E607FE04204FDD7E00DDB6022047DD7E6C -:1004800007219507CF3A04864FDD7E0647E6033EF7 -:100490000328023E0CA6A1202178A6A1202778A639 -:1004A0002023CB66280ADD360400DD360500181B44 -:1004B00078E6033E0328023E0CA6DD7706DD360013 -:1004C00000DD360200CDCF04CDEB04CD3705C921C8 -:1004D0008000DD7E00DDB602200A3A0486CB672864 -:1004E00003210001DD7504DD7405C9DD6E04DD66E0 -:1004F00005DD7E064FE60528077D2F6F7C2F6723DD -:1005000011000079E60C2003110200DDE5DD19DDA4 -:100510005E00DD560119DD7500DD7401DDE1CD22DF -:1005200005C9DD7E01CB3FCB3FCB3F4FDD7E03E6F0 -:10053000F88781DD7707C9DD7E01D602E607FE0573 -:10054000D0DD7E07210C86CFCB6EC8CBAE210B86CB -:1005500035C9DD2120870603C5CD6505C111200001 -:10056000DD1910F4C93A0886FE02C0DD6E08DD66AA -:10057000097DB4C8DD7E01E607FE042034DD7E037C -:10058000E607FE04202BDD7E00DDB6022023DD7EA3 -:1005900007219507CF4F210009111000DD7E060FBE -:1005A00038031918FAD7E60BCF7EA12328FBDD7795 -:1005B00006CDBB05CDEB04CDC805C9DD6E08DD66F3 -:1005C00009DD7504DD7405C92A0087DD5E00DD568E -:1005D00001A7ED52110006197CB5C811000CA7ED5A -:1005E00052D02A0287DD5E02DD5603A7ED521100CC -:1005F00006197CB5C811000CA7ED52D03E0132CED1 -:1006000086C9848207037C0000420000423C767C5D -:100610000449483C49444449423A49848307037C9D -:100620000000420000423C767C4249484249444234 -:1006300049423C4907041C00000222000002403CE1 -:100640003C3A4E42424642424242224242461C3CD0 -:100650003C3A07037C0002220002223C3A3C04465A -:10066000223C422244467C3A3A00007306A106FF2F -:100670000633070583070607C18423C7E004224425 -:1006800024240004242424040007C7E423C780048E -:100690000424202400040424242400040423C3C7C5 -:1006A000E0C5820F06007C000040000042000040D0 -:1006B000000042423E5C00007C424062000040423A -:1006C0003C420000404602420000403A7C420000AA -:1006D000000000000003C10000010004210000012F -:1006E000000407C3C5C7C003C100462100002103A1 -:1006F000C4010004212444012003C0C3A400C00598 -:1007000083080607C0000020000420000020000429 -:1007100023C3C3A42007C4204464200487E3C42463 -:10072000600444044463A00423C3A3A02000000089 -:100730000003C0078307021C002200403C4E4242D7 -:100740004222421E3C3C42465A62423C0008182863 -:100750000808083E003C42020C30407E003C420249 -:100760001C02423C00040C14247E0404007E4078E9 -:1007700004024438001C20407C42423C007E42047B -:1007800008101010003C42423C42423C003C4242B5 -:100790003E020438002A7E2C2C2C2C2C7E2C2C2C57 -:1007A0002C2C2C7E267BEF7E2C2C2C2CEF2C2C2C16 -:1007B0002C2C7EEF77237BEF7E2C2C2CEF2C2C2CFB -:1007C0002C7EEF772323237BEF2C2C2CEF2C2C2C4F -:1007D0002CEF772323232323232A2C2C7D2C2C2C32 -:1007E000262323232323232323230F0F0F0F0F0F4E -:1007F000232323232323232323230F0F0F0F0F0F41 -:10080000232323232323232323230F0F0F0F0F0F30 -:100810002323232323232323297D7E7E7E7E7E7E26 -:100820007D252323232323292C2CEFEFCFCFEFEF9C -:100830002C2C25232323292C2C2CEFEFCFCFEFEFCB -:100840002C2C2C2523292C2C2C2C7D7D5D5D7D7D55 -:100850002C2C2C2C255D0865086D087508004400BB -:100860005C000008B8003A005C000004B7003A00E1 -:1008700054000004A7003A004C0000049780008058 -:1008800000000000008000000100000000800080E7 -:100890000080000000800000018000000080000057 -:1008A00001000100008000800080008000800000C6 -:1008B00001800080008000000100018000800000B5 -:1008C0000100010001800000020000000080000023 -:1008D00002000200008000000200020002303048E6 -:1008E0004884848484484830300C30C0C0300CC008 -:1008F000300C0C30C0844830304884F0FF000110C8 -:1009000001040801040800000108040108040000B3 -:10091000020408020408000002080402080400009F -:1009200004010204010200000402010402010000AB -:10093000080102080102000008020108020100008B -:10094000FF0000D67C3AF086A7C281093A0586CB23 -:100950007FC821F1863603233A0386F6807721F09B -:10096000863602AF3208863E87320386110000CDFC -:100970009D0BDD21BE0B0103063E09CD440BC3E5F3 -:100980000021500C3AF086CF23666FE9210586CB13 -:1009900076C43A0BCB7ECAE5003E0432F08621567F -:1009A0000C3AF18687CF23666FE9215E0C3AF18617 -:1009B00087CF23666FE93AF286080640210000F3EC -:1009C000310088E5E5E5E5E5E5E5E5E5E5E5E5E5CD -:1009D000E5E5E510EE060021008011000834231B38 -:1009E0007AB320F910F1210080110008AFB6C2F9E6 -:1009F00009231B7AB320F518053E01320086310029 -:100A00000021000001A004D1190B78B120F9ED5BA1 -:100A10004309ED520819082805210086CBCE21F0A4 -:100A2000863604233600230877310088DD212B0C1D -:100A30000102073E09CD440BDD21450C3A0086CB6F -:100A4000472804DD214A0C110908CD530BDD21454F -:100A50000C3A0086CB4F2800110905CD530BCD472A -:100A600001FBC3E500110000CD9D0BDD21F10B0161 -:100A7000020A3E0BCD440BC3E50011FFFFCD9D0BD9 -:100A8000C3E50021F0863600233600237E3203863C -:100A9000110000CD9D0BC3E5003A0586CB7FCAE56A -:100AA000003E0232F086CD3A0BC35E09210786353F -:100AB0003AF086A7CA6801FE02CAC80A21640C3A45 -:100AC000F18687CF23666FE90604DD214E0C3AF1EB -:100AD000864F3E0490B9CADD0ADD21500CC53E099F -:100AE00090571E02CD530BC110E0C9060010FEC97D -:100AF0003ABC0BCD900B3E01D3003ABD0BCD900B11 -:100B00003E02D3003ABD0BCD900B3E04D3003ABD5C -:100B10000BCD900B3E07D300C906083A0486DD21B1 -:100B2000230C07DA2A0BDD21270CF5C53E0B905765 -:100B30001E0ACD530BC1F110E5C93AF1863CE6031C -:100B400032F186C9F5C5905759CD530BDD23C1F15C -:100B500010F2C926006A16002929292929292919EC -:100B600011008019E5FDE1DD7E00A7C8C6C10707B9 -:100B7000075F1600216A0C19EBFDE5E10608C51AAE -:100B8000137701100009C110F5FD23DD23C3670BA6 -:100B9000472102002B7DB4C2940B10F5C906302109 -:100BA000000039F3310086D5D5D5D5D5D5D5D5D5E5 -:100BB000D5D5D5D5D5D5D510EEF9FBC9E09440549F -:100BC000455354404D4F444500004D454D4F5259FB -:100BD000405445535400494E505554405445535485 -:100BE00000433F523F5440544553540045584954E4 -:100BF00000494E50555440544553540000544553F9 -:100C0000540053544152540053575C0053575B00F7 -:100C10005249474854004C45465400444F574E00F3 -:100C20005550004F4E40004F4646004D454D4F52E7 -:100C300059405445535400000040524F4D000000AD -:100C40004052414D00474F4F4400424144005D0037 -:100C500040008C09AA09B609650A7A0A830A990A2A -:100C6000990A990AEB0A190BF00A0000000000002B -:100C70000040000000000000000000182442427EF6 -:100C80004242007C42427C42427C003C4240404026 -:100C9000423C007C42424242427C007E40407840DE -:100CA000407E007E404078404040003C42404E4202 -:100CB000423C004242427E424242003E080808084E -:100CC000083E003E08080808483000222428302842 -:100CD0002422004040404040407E0042665A42424A -:100CE0004242004262525A4A4642003C424242421A -:100CF000423C007C42427C404040003C4242424AEE -:100D0000563D007C42427C444242003C42403C0210 -:100D1000423C007C1010101010100042424242422F -:100D2000423C004242424224181800424242425A87 -:100D30003C24006322140814226300412214080892 -:100D40000808007E02041820407E000818380808B1 -:100D5000083C003C42021C20407E0060180406044F -:100D60001860FFFFFFFFFFFFFFFFFFFFFFFFFFFF19 -:100D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 -:100D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 -:100D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 -:100DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 -:100DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 -:100DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 -:100DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 -:100DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 -:100DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 -:100E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 -:100E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 -:100E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 -:100E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 -:100E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 -:100E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 -:100E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 -:100E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 -:100E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 -:100E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 -:100EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 -:100EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 -:100EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 -:100ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 -:100EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 -:100EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 -:100F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 -:100F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 -:100F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 -:100F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 -:100F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 -:100F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 -:100F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 -:100F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 -:100F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 -:100F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 -:100FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 -:100FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 -:100FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 -:100FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 -:100FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 -:100FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 -:00000001FF diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.qip b/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.qip deleted file mode 100644 index aaef684a..00000000 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.qip +++ /dev/null @@ -1,4 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "13.0" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"] diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qpf b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qpf similarity index 79% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qpf rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qpf index cb45f590..248cf06f 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qpf +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qpf @@ -1,6 +1,6 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2012 Altera Corporation +# Copyright (C) 1991-2013 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing @@ -16,16 +16,17 @@ # # -------------------------------------------------------------------------- # # -# Quartus II 32-bit -# Version 12.0 Build 178 05/31/2012 SJ Web Edition -# Date created = 13:44:34 August 14, 2017 +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 23:48:09 October 02, 2018 # # -------------------------------------------------------------------------- # -QUARTUS_VERSION = "12.0" -DATE = "13:44:34 August 14, 2017" +QUARTUS_VERSION = "13.0" +DATE = "23:48:09 October 02, 2018" # Revisions +PROJECT_REVISION = "DottoriKun" PROJECT_REVISION = "dottorilog" PROJECT_REVISION = "VGATest" diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qsf b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qsf similarity index 96% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qsf rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qsf index cd44f93a..efe97528 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/dottorilog.qsf +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/DottoriKun.qsf @@ -43,22 +43,6 @@ set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:44:34 AUGUST 14, 2017" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name SYSTEMVERILOG_FILE rtl/DottoriLog_mist.sv -set_global_assignment -name VERILOG_FILE rtl/dottori.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv -set_global_assignment -name VERILOG_FILE rtl/scandoubler.v -set_global_assignment -name VERILOG_FILE rtl/ROM.v -set_global_assignment -name VERILOG_FILE rtl/RAM.v -set_global_assignment -name VERILOG_FILE rtl/pll.v -set_global_assignment -name VERILOG_FILE rtl/osd.v -set_global_assignment -name VERILOG_FILE rtl/mist_io.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv -set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_reg.v -set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_mcode.v -set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_core.v -set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_alu.v -set_global_assignment -name VERILOG_FILE rtl/tv80/cpu_z80.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/keyboard.sv # Classic Timing Assignments # ========================== @@ -70,7 +54,7 @@ set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON # Analysis & Synthesis Assignments # ================================ set_global_assignment -name FAMILY "Cyclone III" -set_global_assignment -name TOP_LEVEL_ENTITY DottoriLog_mist +set_global_assignment -name TOP_LEVEL_ENTITY DottoriKun_MiST set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 @@ -147,9 +131,6 @@ set_location_assignment PIN_13 -to CONF_DATA0 # Incremental Compilation Assignments # =================================== -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top # end DESIGN_PARTITION(Top) # ------------------------- @@ -177,5 +158,25 @@ set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall -set_global_assignment -name CDF_FILE output_files/Chain1.cdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name SYSTEMVERILOG_FILE rtl/DottoriKun_MiST.sv +set_global_assignment -name VERILOG_FILE rtl/dottori.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv +set_global_assignment -name VERILOG_FILE rtl/scandoubler.v +set_global_assignment -name VERILOG_FILE rtl/RAM.v +set_global_assignment -name VERILOG_FILE rtl/pll.v +set_global_assignment -name VERILOG_FILE rtl/osd.v +set_global_assignment -name VERILOG_FILE rtl/mist_io.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv +set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_reg.v +set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_mcode.v +set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_core.v +set_global_assignment -name VERILOG_FILE rtl/tv80/tv80_alu.v +set_global_assignment -name VERILOG_FILE rtl/tv80/cpu_z80.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/keyboard.sv +set_global_assignment -name VERILOG_FILE rtl/ROM1.v +set_global_assignment -name VERILOG_FILE rtl/ROM2.v +set_global_assignment -name VERILOG_FILE rtl/ROM3.v set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/LICENSE.txt b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/LICENSE.txt similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/LICENSE.txt rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/LICENSE.txt diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/README.md b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/README.md similarity index 93% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/README.md rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/README.md index 8d436f35..acc84a65 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/README.md +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/README.md @@ -3,6 +3,8 @@ https://github.com/furrtek/DottoriLog Based on information from Chris Covell: http://www.chrismcovell.com/dottorikun.html +Need a Reset after Rom Switch + Uses the TV80 Z80 core by Guy Hutchison. diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/Release/DottoriKun.rbf b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/Release/DottoriKun.rbf new file mode 100644 index 0000000000000000000000000000000000000000..81e3508fd9380c312cfd0a4ac3b70937235f0839 GIT binary patch literal 358660 zcmeFa51brVb?;f#ZcJMU=qfk8MO#l)wR%KLmO&Ew@U&j?=xSBlEqff#2myMI6KjMV zyu7gf6Y|1KUe($DzL?IB1KGJW|LI=dOF62(9BKY>ejBHs_uA4kN=?!rsh6)~LQ>x8N%`~B{QIg$ zsWz8-?RHx^^;h?L?de>1^_c2jO6uKH{qx)FozKsof3Ewz_H`6Js$WTMbk9!x?X}k{ zqvuplFJH9GKkw0Ydj9EUPHpAXtA%Lk)!QrC<7ICSF7!;Vtd70=9n$>#-nr^gTIjy= zRc@i9=$ikG_@lDD_Il^@ua&n@>Xp$^>BY*N&X3c}{(I8Tk(A$~>GU%FzV3~2uU@sQ z)VtPEvyFdI_<>!~`)z{@x_qUg)<9yy|%=D~eHYN3FJbhm1{+Uu^F3qPQCb?%w z9!a;_bE#KM&m1D{A?cRrnfW2<2GNb$ALw+vJ=ZEr@dVJ75(J}uh zJ}6H|mz5aGvdj40>D2c2BsrS4}i1yxC9VXRDx<5tgmFpdq z*Gs)TmG8;P^DR0~W?t&i)$Ku=>Xzuyv#M{Dw2$;5l4$rUsn^b#j>k#8dp%lo+)fJT z(tLV!u52AYLlRFTl4#R28t-1d?&P4PqY ziQyQPUxQz4SE$@4rKtPc&FTLB?p)GUk6&W3XzQgO&*vY-|M^Mx z=3mdJLFXFxg=pyIX-!dkJ=!E&-zW8G&{5YvES;`T=Fb(K_u4ztvDaSjzGzq9dii3P zXi#1+{VAX?f(w#~=m;QJU>90tVwOu3~C6m4M?YX3Do%Zs3$Dbr= z%~pNAJk8trN%!U_^?m+zm!jus65XPsmwt6F=~^^OMm3Mro{o=^M4QfgNqN#qy|i|L zB;Kj5Uit({`l5HO^{7YNLdPdb_mL!@y07)Am#6$MkW{C5ylF1Ig>?C8NqN1bTcSZp zbjThMomxH~oJ(4Fw9fRB?)A=f{M)&7dYP$rMW1*-zrC}isYgRk&5N%+8)UwY zm0nf4bS~+huC-RZi&UITA1CSh&q+FNC5aXtmEK9xu}7b1mi^eHL;TfwZ*KIMp=;?c z9c2$mrzvT!zn&yp_m4=LhrJ|w`b_C`9sidk<@M<39o63a)T6COm-zl!lFIb>rS(UA zP||rX=~{f5e?0xXCs*P_FKG_+>@@XVZT0BTJzaOLw53bMqm?A(X$_WsQ_{Ffg{&dz zp7Q<|lI)hvB;A*-CSEE}Hd=44shskMN%Lt^+e(_RI_jQ|s$WUGQPR0=M;+D9ugxW$ ze~P4|?CReq>G&t4Um@wdm#6DqlFapzWU!aiwo;D{sXJZwczQY=>Yv)~r5;_<83#!^ z-aD7RO#0|t>g7qDy<;wk2fs+tv6pm9=b}NWXQzqYZcaC^H+JHc&U;7MWjeo|^k$Oo z-9S=aZ_RuYN$a_i=CJGx$-s-H-m~I|m}v&))~>GKFWT+WM1lx zPcQ4Wq&JXy`5L!dNXk>v`lV~>EZNaYI{yh$_p19lU;k?C)c*X`Yfm)wQZG+OwWFkS z(WHL-AxU!8OS(Qi9X%}_BhAm6PlL`Sd%gB`RC`J~mkyJBNw-SZC~4mR8A*A)r0XA+ z#H0C1b5?V+m(<=u>3by2z4`ZKODHXrr~I$aC7qv3nqS}I`634vIk3oqv*m#FpY-V| z$?$^EIOt;QC|AoCg=PI6mp#Ovg;KSnjs>EbCc(yH@*_tOd6|(bLhpgDC zhHiJ9Ds>gs&uFuiaay@3%s90q6J}+2MIo+;mh)bjp2QtjeyAT&LCh z=Q{qrE=ApvU;px@f$uoiiQud1*X)g`(0LtnCb6@6G;)WMeThSfMq0`8U=j~xC{R&5 z4X9TEr&=z#wZc>7%7L=u`?W{wD(UQdCw!RfH0v9=-KuUY@1yFZ;b&H793Fc6Z(c?n zG~MLj)IGJ^oo6BBW_72R@-!*__)g-4qhc6oqOM;(+zGQhJX(QaP8_u|$N%6}y49C- zk&9Yi`sg_Qp9718ojnH@W$f&E)h#)nV^$fQYX$Sm&wg_M{L7bizBrT4m4AyITjbaR z9MBXWT_FE_vTE*|KYk%??DL^%?wOa1^oQrobDlI7cXV!=A*t? zzrFwRA#R6m;N+t^PD!OM>v>adusbR~PKZ}7RGnHmyDICfO{Y>@+c`hreBrP9HqOrc zMgGM)cUJe9XZ;)KfBn(3YV)iLUE~S?$#4)1xsi@DCl>NqUrOfeZ+%y+!6UB8LAH+E zoFu-~%rE@fYqe-xh^jAtt$)>~D=Mp_W9uJ_%Vqtpcp|;M`dA!2(Z0L-$)bPrv+eTx zqGNac_M!bgWq)kV>Sy9-@6j`-9m~tp+c#dckC!u|y*&8rJ@xC#kJG~gmF~r(cUtCZ z>|T2M`sbIt^h)MxKvVQjS04Qw(%q-jZxz-bvV^ky*dO($E-)3dW*`z|?j zBC&Gz6y`N#(L&`v_ZP2TDEqwf`ahayrh8!m#TJ2KFG#aL_P`7B&O4)@Yh_{f8(%ta zLSFFTMZQ?RfZp@U=)ahq7a1>ns9%KDG+U1r`|6SP&$yfYSHIS`#l*`W`+~MT`etCC z(ITJy`47Kvz|J?PkJHR^{#|>~k3YA8n44!8!idE_hL)FTzc2VWM!n|Xg7;ox=GqgX ztZi#lUr{N`4BxO`=IIrM^*2AeYsdSd>Gmzv{YC$(FJg1cKF0v>n%Jiw%ipjT`NjHp z`6#rXVsp=3T=9>6RdDAfk1tjlRnd8|`{!~mf9yZ?$&jSgel1qE1ASD8i-etvNZ7J3 zo1yIA9Df-hROc*C3qH_q850WCpVVidq<=Doq1>Mys+NAPBhEjUsPT-Za^H;cmj~MY zvhv_xqNTdv)T3F$e(!2b%?+PH@w*-{JC4sUDnR@M87rgqCPnjrx(os zmx^|)GZzS=Uko(SE=7M5;?+Oh+t0m0=OQ({d2{Fe{S7AQ&p=X3zd^c}8(}qVyq|wM zdS73sW#6`iojd-sUM1^KT9mPK<>SkSWBoGh90yFlZ@Z?8Xg3CY- zEZU83I(t&izGUHZzk11{(cQn*y>R>MFFS8-i2+*6@@D+=Y4+{E(>IjjVlumHU3)B_ zO}c&k)^`_*iL7Ow=fpXjy}fe5BcB%xMgE~A-tn3FW4mB+#W|l{`axsCm%)B0WeYNO zwmo=ut)5M(vw)T6e~014t9<*v@2`b>-jnk*G8ZxL(-?9VmuDduXHiSHNMF&7Ma=uG z`TktN#WySaX?;5{qqw!Rw@%N#oPHtb_Z=~W} zT7QwUFIYwOfvEFMyMFh4pFHh3Ec)4-rccX!370SE<$2fDS6IK6nSImV^Csm55B9H@ z{oNyoX5aNUFCg~3GcML%E`I&%oQHIAD9@E;{oS3NY2@la`q)5dNIEHAJ0{bow(`J2o77M6E`KV~u)G4f*i z`b_uk`0K_09^p*9Svdb?V=FJ*{qxA}BgbA=_|$o@dVxnSS{q(*feX-kep&tOW$nZ- zU8EqWcl+!9g@N4~%|6cmz5+#sqs5C;051f`E<~)x1^s}aB&u0h-Y>m}s^MbQ)Qg0j^Z530C>M?HbLRdaCB(J4ScK@8>h#Z+*IHzvKPZr?=@t z-Xms@{gQX_>7UboqEmeOYWpeg@o(|J<>*u1I(}pHL}35>oE@Y0bwB3)FRv2*OC9~6 zKp(DO*X<40Hy(U--=>=0FF&J#IM*AjYb`{&Kk2{y|6B(?U9^_KB4Ot$#r@`3*tePV zGI~?$TnBC8^8Fslg4p8{>f`!Kb^%gf?2X)-1ee*ejXyoBQKY4C?PkC<~ig2 z-2dk(k6_U}KgHWvG|$gZhn(`%#k{PYLg-7n=r`Q*l8BlA@FHRJxp6kCu0}&f56jkkbI&4yS{7d-+td~=E>1ZJMFg=_HD3VKH=hLM5xaJ z%wD+YEs=XcE5GN0xfX?Q?anOX=g)5kTwnvecH(RQ?xpFG`A=h4bmm{bl=BN5RsIr4 zTeLUNm3fOCTjbaR9O$aEZYe)73mlPjB}497|N6T02=zzO{BS@%~LtxMR`zO!o)X=3D5uMWlK0+fpxSJ%E04-~RsjwTt#{Qxk#CecKz$ z2W7*5GD*hv)8^YBn@RsHcc|R?!cCXhN9_&!05?--JC!nqwibB*{Ik!U2BJ-rs{j02 z@;UmD%Se9q|J1GdNv*wT3MrrpZKZQ1m8TJY^48ZvW-SN%L`%x}gDaX4^cJplh1fBvF*TSr z*93PIQ21Qx@?H<|56ZeN{P|t#silcVEcw1ftfdW7U)PlGKFYbS=gO*k>LugSEi@-A zb7kLtxBYs!3LBmYaw?`K*TN57_;xaqp)`-q=c<LI3!=BB2uvhH}`)#{m^vZQDt zTf&fKJM^03oTPJ=TB&-j{+6wf2fDqdelfyM%USOup(IcQSUx!UDk{X%qvmJ`ISUM0 ziY|3akUiJTb1Wii^ zz7>;}F_IQNIQ#t-VJ;s8&0LCz1p#^+SxfVW1K*5OY{ZPa!9*}N9gMkT79X^KJI1UF z#zqW9rh_!4e>5&ZGWn+J=t_d=ie_YxNHI)9!4J(!NCQX;qxi%xJkIzAO(aj1NQ&~o zVVd;){Kf$F=R*(jN*m629NZ>tNYCeHfNh)SkPz-O$f_3gD4jz~K^R4b_PNuQ0?OR} zHMiqXtQde`cWNdB#Shl$ z;1rA;cWF$`SShTTM*}bCOw=hn*lj?=Zfa&r$C;l^r8KCz=paD{t!P>eP@6Lw{XBHh z1IQ~>5yybWxIB_;dATW>d;A2oCeLm+6LpN({L@mylu6O#_9;#XWuThD9L-a!mfpZA zR3%lt7>5?4P3E3wh;UA+R0^a}?LJC->7KL|=TJW4rS2Akrg;zQ$Th37GO_5O200H= zECHg&_As1IX){m{`9a#txMb^h^$^ z4#e9UFS1Ou`gfBp^xUO)`_1xrDA@azsLUnX+IADFTAPq@B}t%`6olN?*3IR&clCvv zE`=siw=(^PZmq*3Ow~};Y3`Gx66Ol_%w?P3C6+eyAhmX{kI%ePHQHHcu={vidSeWm zJB*lFT9qkGD%#Fli%5-QzNi_>!nIH;#%iBL6w`!=X}MJy2H_?5efX6;PA9u8(UYQD zly>_gQ%)qMnE1-`?Y)4RYx32u8|Mc>$TMo%4ugtqH$f{SAMgv&5~qlc$3Q}f=m~5V zKa8t;@k(T#&<}2FR8x9yf;6BgUaYN;IQV1b5l&OT2Rjwa}z;A4>an2 zYn|2BVuEF=RXf;N)xfFxvwydR7OiVpbq`%;9ufmo?B+jou{$L=Gz2P^{I3)L)x4>u$3Cv!Eb zRdt6fI-%r;88UzH+xGwQ30?VK-HJo4Nc@mgDB}AHE-6~dHa;YhFwSIS#3U^a0 zb9~QI?n#LwO+G9b2NG!Uq{b0XLo=1f;TX;r9>Q)f=y*sPc6$6E6;VpF&1bwAbqJTQ zL4KNsNWP^(bYS0?Tba`6$)9}E8P(dBI&h8Q=M2&$ldue-!}STwZZ||wx+KaSQQEyO zFtw^j0Xs*;Cz3Qs%TQBDd5uH0Rn9oo!tAeo5Y`$9SEr?iy1lc5L#vDu1Fj*ZE10($ zMzXt}TUXjuz3qzagzbtLw@*bw_shT2Vsb~CC5T|!LXFU0qY_y7(myg$#RLeXR9CjF zyQMPEClOBKfshmQhBQGd6F9f*>HU9WxY&j%XwZ(#JCzpg{)A+nj)V~6NrpemT7KNPnpa0;mNT-4KL#ght^VE3p#EJbS2Bf(J&xB;thPECK=YL zp}j!91*#XJkC7@;i<)`DwPT?Sn~*D~cH;luf<~ey`-|4J@0!sZDz95iDvL8i6Y!Wb zGHgo8>XxBqJ2|^8=qhl^B}_kOcE>07;n9vo9*%CfHvkaYJwhXaDOT6vY>s>A+OcUl zkL!ZnhVf3*fO5s0zuW8$QgmgCGdg05_@<0Vgg1LQU~b7ba%W_Wbote#;e9K zK>6l_(3|4Sr>UQRP-l7sqSM`)ps^fpODxKJA_zweMQK!CF#qfyq%fdT@&pOcfNdhW zq%>+q$5yNuee|ul5?1Dd{_k`Cu5XdZ|#Q~{!K)m zxH1={vq69#)+2>Wlpb3!!(U6@9)+LzLMY0 z>4^I=C3iE$KU>b+yU*a_dfO-iC+`e&g0gWQ35Gk;?jy!IZfp(Bob`I->socJIV7hT z7Rc$6Q@GFb_mx+VxvTfD2%Mk&b6l`7IxF3)dwT-hCrl6Yq%Y6VR$Fqh9dSRy&j%E_ z7Xsmmrj)4FC6&k!qzz~nvHo&a2Bbz+IxS6P96rrEs~Ne2!@hd|jfIyc&vairx>IW@%ADCkVb4<&i+DC>g zJ&sfgT&d!#uBb&P*ZgzKr9&lG9=}>Vs-qx-}oSzGMqJH^eq$_)Fc*6SWnij zDJ7;*m+d967uu(7P#2!HT=9XT@Z7~1H6S(L<+|aV5*h<56dI7#8RyL(L*EKNnPZ#n z`<=2oWu!506Earg5!QMhp;dEs`rY;$gGPtGOK5zFi#dpvzz~IYtDuV8D`CUygo)8adhT9 z7%bCZcjQ{zYpymRf2>hv8tT}pLH!^SR;jVnce7WsAUe+FCvW=7T+6c2Se>EwA^u8xiHiFQ&q_`Bz=N4uJM2O z&V7qD-kvyhrye);ORd?kP>2d?!Ao3j-M#BII6NcutD4U1qxz0?@Up_1a`whxy6UXj zkQR2|zr`60a&Pu#4q!ETZ%Y5aEq7%+xCCQe&CdQ;V9DZ!Xn$jM_VQ;d{*R7cb8v4A zv4w92dn@his-4ZY`D*n8h1_7UdT;#=@j<_~(YyQ`_2!Or!rN88W=GoD98Z_umHn`{ zc}sJmzG+)f{Zu7>FpbBiue-vme4sE8tXub{2M*LHyp=mD*K}T=OqZ`wX+L*Wa?e&u z@0^}UP4OxJfO%74DD^MjKib%l9*ys;QatzJLg9w;mQl*rbDvG_NzDD%ZKC$ZMsL+O zj~(>Wpb^C!iHY@@D_+qn0+wD2hBa6rmi81=w_E4)aHk z2M@WpZDs&y*5^O}rAT6DC_8C5)ux!_5+t*hHTt1Nk<4fSQ7_2sgt?ao6L}Yi0#q*x9UBSG%EGZn;;zUX9Wz+fnY*8aq3i@yj zCa$FmCS8SSScMzlaffQ#syi^Rj)^D>5iw?x8ni8_T5vq)?x#=t@18{;Eeusv0tR&l zy;hD91d^Oz15C8B;OzFY5(_J?l!V(|HD|47vTrm?EplaGG(=I9p^#|XPEvC|X9t8W z`uo{pud*}iR9f*U1{!iqPLQ1nk{EGI8R%H3QOun{X1K~j@<;fm2 z?AcoE3PLGwYMG%jKJ8(+44sfs^K*RH6beZ%LvUjpfp07Hxb745&k8I#sB>N`OX;Fg74EfHcB zv!*3-9^!nqHC+&>q*}VkN)K{z<1av3cOzIlfnjvjDc)v67s<7<>{q=dIL~mBd7#Nd zhb2MGr-Wbsh!GAGOU6X;CWC-kA5b$!OPYG7k?JMs1g7V>U{m$@fF7d{Wp}&DXKI;r zt@e@Nu{b#n^HCW=#nl`lEU1u!8S=VVg283U)1}&Q_! z-TUW?HITZNEkGu^4k&3Y0Epxeacla*n9?;PlVDaxGhG8fSH*^ng@iT*H^tz}z%t@0 z8lG0bX>1#uc9lut-QSvPw0B_mA{Rztguo>#L08qT%SD{kGQMwEGT_meannR1(ei?< ztO>h}Q0@GVaQ~JszG4(k3ZmC|3%@070%XQ8A%KHGaO2MKC@aF+(p5o}u3A@`j0T?G z&(6LRjT`Uk(i_dH>0r|A-s=Y|j_o&s0U6XI1YE?0jfENDrk&G!3cKQB^R_q`pAL#| z3&xK%qv=9%Cou8TO;G*A1@Pj-Fb@k+k|PfX8I24|tZ?7EE}^r5;xPb*Q0+`~#Yuo$ zu^_Qfw%oDll6lxC>lnI!g*(}1z4y&$2rT%N`_SH?;txFTAMlIics-$J+C@meG~C=R zj4Gc^$EKIv5mZK4cZQl%%lvq|T?k^cwlL!tiQL!- zv86i$Kavhwv?miAgDuGJ-mIc^tyscx|N{~$A**Iwq_&k zRVC-?l)v8H|Mn7Q5#Ub;sG_}XE|{XdI=gBsNWr>s1xpNf9ti-AhC0jrf%OE%0DlN$ z+FKPyvMb#;j03wiZU0HVI(%@T^k^{cABgT_0Q@#Xy(jpio%Og-0guge%`Ii9({+vL4SZ&S3QpTE z$6H4Cc@?L9nHZ+91GK`434t%j)ryS^6UOnf8zhim|5QPFjFK%?)z;E?ik2{ZWuC7>kE^v11UL(si5?ExL z>?)Ihz>c3)xR{N1QFm={hlN}~(y%fSn!k>Q%T69MEP^B!6d@@G9Dkrx9KYG-SQ5P3$S7@Yg;w{I6G0OL6cz#j-M`P z8HvO9gl2fPb6_CjV?^+1(#BM4K3LZ_QLrbCom8PWU^`iKb@tTA5Jgx)!Y_ZEz!2*q zi!fw-qKTnp)AZCe)%Oozw=6v?6aC_Z29qppljf_(W;` z0@nj7&Bc@ut&&Oy3PaLLijdvjzHAXeIv)gSsO$`t^O=E6q{G~~)4Rl~C?wgu{hP!I zZcLmgN7FCKGV1fwCq84tUFT<=_qh!kLAsG6t^Z6K&T!ALrb2ft_M4f>74B{?w|ku* z1(jkBI{+)j`YQ+{u7_jr@bkgUGALyo$m2hfog`UgS>7z0kQ9`T!q~vfalIe^0Fx8z zLQSD5)VMaAu`gv%B4uH`#93Ry;I5z=tUITsf;6ACCTYS03Ve{`CC_dQYo>+0f|9Wo zpjLs80t81g->|r3KiW22raC6+wrVXiQ1*gS&M#)PgYRQy3uDUHt>&XFZgGAmegtML z8dt)5onHJ=e*}S(91b905D@(B&y~72-k_16$V%Z0S)0L^h zCYR05+~@9nWrWzIjEJNLlT_%&m^+4!mi1xscpmx8WIR5cM)}7}YYRa!6A=(Rer$BO z;7s|o9g|2nYSu9@^9k3OWP5xAo0lz?=3tXT9^*0Dx&?yscSCTth9AzL;Pi|!BAt=g zpMB!12&C8mSCu$ueGQ@2F_U?};uY$dF*ha}Cu0RWWHUjqf-{Zpn{}vi45Lk(;jyZz zWm_f!`PhV9QC$mnLP9+I2fyo72VlHBsGZn&Yn$1kaKs%v_{{>eCW>5na28E?*tk&!tASTL1 z32(=8p%fLSn)>QQH?*haHoR|N{u>mxEr~I<6m7~qr!`nw$p`g}WgWeqC^mIYE+}|Q%vX|fhAJK+!ok3Hirsw}YcH-XodF@2bt7j&d?Xol zexfi?anV=MJX3K-6qh6<2WUq@BTlihw}2AZ9*<2k`IypOHBBIb$pZp9m_cbuUESya z1SbFOU-)D#J0m)j-%Zpfiv%ooEvr!@lg1Mz8P8y~X*wuW&cqN*O^gNfN-5_*fZgQy zL(RlacCsQD!~ycraVmnp{)r!7g4NaSjbzFp7{F434_eDbwdoKC!D0VLi1> z1x9a*qR4G$!{KHvg@PCz;pCUHKtKu}nxN6jB@Z0s^5G$j@hm z8d+J?h!*aC>#Gq9)-A}B;H$LlyHGh;mCq(ng=``ZGQpjOMog?h|FZz+gOsVVi2yQV z1#kxDpm8B~n$@4tOj%7d&4StKJDvQpW}%q`+0>|OU>b%$VG|)R^fJMeVVCW#AU~6w-F#dcQ92V3uhqR>h zgF@)+y#YYE!PFt7G4iV3bpa10zZ$>M3~Z~Lho*zUIC!uS_`9PxQ_QUOr~TrkV^Oqj zSv+0qc-06Jiw`Wj*7$;4)&|$ovbQn#5^uT?FtpkA2a#|bfz%MrkcB8T)p*=Q_)S_o z929Shiw_piV9BP)`@olhf|*}|*oZ85|F&SF{ig_-UD1GF-&P&C-$xj39UU?4!aXCC z-TTj#i!_PZQNwA0gEe${O-twCji1W>zB@<=Y zoll)F?$+BY*m4JjQ`~3aj3e;@ujKtg<(n#dIGpy!wkB5&r-usZiB8b&bWSWQ99n8{ zf|mzR>Vfnt%Nmtq9WQ#^TfXs7aDXVEWa+Ap1dXMSd@Mek-mxqp#3xDb2%aqjiT~jr zMfDA(F(5{al;h7?*Bk(Wy>!opmZZBT;cjzV`AQIi>cA(xRJGm2x@9 zy@sHfo#Di3gAl|DC2CfNJBHQ{6|xFKDVc0$6DNdA(3_#8 z#a=IU04)$uar;{@#rd8|YE4!Q=_-rd$Spm}o-jRVS6K-I%PenOz2r7>SHdeA2V@Z@ zfT0SuP#s3+7E%|tI-f0pR|Z2#47Kf>69-@3(sBq`p;5UrP`N`{9|oa?u4Uzood9mS zZ$F&DMopo*-OTC@5!hnieIdk)U8ioS(`TTjc@sl7HoWr@f5gl*KIsJsHl(9f9zB`y z_bhX2gOy1&T3Qz*EIyrtFd+lm*dU|1MDGr%pZ9u02}=}o9XA#g@=fT`^q`l$k(q%0 zt!a6&&=0Cqpajh0H}xY{4g?||V1a6+oV47Bi`g`Cl3O!QBXb9)!!+D(EQQsCA~7k6 zMWe1Fm_h^VLWl{CN=OpK467nbF9kJ;I^VhFpLqv=#2eULq30BCrPE zj1fAt91-1lr56BdlwyBteKzphrOcsvVZYaSSADzLvkXp$3_M}+OZ|4xgg3RUl{m() zmK}?`!AqUv#6<_u0+oc|z-_Uk`z;X;BV(=K2p84Tw9gLRpb#iyI7QU(U6Xt+ET)X>;N z8#bbbF_l)$Va&YA<4XBrTV;Xq$yHyO3uaXsvpU(J9~MyzR_!>ku?6C@5v!6$1lRaf z5NS69J89k57vI~N_ZrfI@9o(6a@voDWlqbRyA=MSQ)@;c<)@{>jTvy$ z2M5_*i$+Z7PRkZclEiF|!4`OBqnSmIC4RAxW9Ki~7#vN#&R)GUQpIjEX?6I?-{W0N zp@ar`#Cjw#lW88PrI@SLF;8w|gDV-qLC^a^CWYE4pB=~mPGC2>dLoTCyEF32YlXrF zIfV(mk>zM&?m?35(}_a9;ySZ$`LOM;g&c@8q7arC2|J13%5FDqX9iZsRj*mE#5;{Y zQ4H8u#N3U1dD3)tYt!Vbgg(pBfk+>Vmle#%^j_ogTZ!=HoR~O+M!;(`LW0m0l?&9g!SE-1-I;4K-_T zTNVUU%jh86>n^)h5RqW)hi$jnAp_rMyQ!@Qj1D6HS*Eg81wT=CC?q-nCZ68N4x$D(Sd)9oG3;AEzu}^Sk9`{IvMCWoYiWxHN9uhK&jexrP@%Tn2nk0i^y| z>QxMu050!DVaBQLjJHoGwGBZLuxm43X|geNmsch#x4-)`=t5 zoKiiz&p(0yn%nF!Nffig^G(rn<=!Yf~{}^*< z=7bfYmIdaabIq(Xkwsgo23A9$CS1Z5UXxTEKNRp$Io7uDXv6U$t71$90@M3nFH-G zGnpA_Z4`GBbS?M{h(u`I$Z5q{FwuG^&Zfd~2&Tc_OeO**1D%+`M@cavU5J$v&D0WI zT9lVS)e#U_XO$;nam{B$Lh8)~F)%^QfWc+T zr|Lcvo>8PnPAwg&`>ipPnSIZ-#0|ljXeT-%bs~m>0TaLkw>9>nx>lhMB&n&f)G*@b zvwi_IevF4uR58Y;v^y@apMRi`CZ-kdoCbv&0qfz3&6zMW=s)|N&e00G5H+4Ph?!w# z+LsVhg^O6c6Xn4wkOOk%si71r8kE~1%#?`S+;yuq&=-5;5FG=kz{u3e6OjEB#-YgpupWdze;4Ka3U!l?5m$jzhR$Xl!J z>|`;s$4^WU4^?WJMs6CTZDQG&w~RNvumsvWryCpT%nfYN@V7V~tt;?#muUj=noo(?g66y;Z5#eVCb)d1`{tJ1jOU@E-r1c6RVAnh5yYOe-bnAJ zeIUY7iCplcLi%WRB#x`Afu0_S-taq1m-{o(z1|H$Y%WWv1g*;{ShZC;=?}CHSgT8^3C4lx`d{o! zrNLK%u5prRc`!|U z%eK!9+>e`Gd(?L;6~ax#n(Hz{2P?en(#~xMnHwGM1Eykw|;rRTSAzD9u^_{@ZH zC~l-R+?>H2%N~#8Y`d9MKre`a2zD`M*pK+~`kaeHb-S^k6z4H6G$J`}+@uuYPd^DD zd&jau=h2Pp3MsB;~q^eEwS|jD22XKj}8?MmeEo{y!zx%d?1YYY{||DGt(!uXVT02coHB` z5En&4;)cpmd)vW*Os)Q?PbAOIIP#%_=pG;l3z+$bf~+sfuJ>ZJ6;K7M9smjq1G5Nn z0#-@@YUGmz)o{&8^_E;yfiEE;Q!F?8${?Q2$?}uGcj@(Be!n*k-;BPj65mvg=;sh$ z){%KlR89$T9Cd<9#DbpI)+-d}R*gR_)TkQT{)43{_ni`50Oz^RjniIs_1*&UO3n1qt1|4Eem;n^LkDmD z4gVSAg4C3|Vpmhdj=ZzS)=QfJ64>jQ#(vcsZZU`LCP_F&2mmyx{DbzT!WvT$o=s?e?2SmJ zmitjObdbT@GKz&~)(n@$_sXrs`nJzBe#8HK;B8H6TmCNc_ps6JKRFo}!G5;v+~?gG zQHL>6&UFyQ4=m21=;SOsJqouZqII}Of4Kh<45I4POr;puOkPf*z@|WAAr1kk_ zGOB%N!@Pkf--Zf?B>fH!{Xnk?b)m8*Uw| zVf&XxT5QS>gZTSq!$#i>umTVVL`bA_bca?53b)pY95>p|YY1aZNn9Hn>k|vOnvPo| z(*m|I(s{L-&&ycVKXDD#KYIxj3H<3+ycCOm3NN6TYnV+rZh&mXQjQdGSP(``T!oC< zu@l*OF+;z8+W)8d(Zb^Yr+MFRUNVZa1jvW|*qf+x^Yv^F%whx$1JPEX)BpM_gJ<*L zTp8r$#nSAr{I8WxzMj+z&1LAEjwgXZBcO(MW2bc7HN@(Kk=6tZxZtTZF583n{m8Ri zW#2E#?RMltF1xwu%*6Sfcm{G>FLSEy}(;A41uj)MDad?UVez8BsCUwec>fE#F4}+o9T&N?62D{Iy10&D%c)5 z=^hMqTpsigbuozOqnKkF@0LL#!L^V$h9B!-1_ zw`*8Mkuh3Cc_u!4Oa(Sra33}gH^*IO16rJjBIE>Dpq33YvsXL@wYZ%1)Ojez3&(** zWMwTdV8Mr2U@7L|29iKri9oAupl4VhP77~L0G>oy5Q!fLPq?*&$eesoVUSw}=hq5` z07}Ox-}jMAoK@63O|Tk1D3ENV;F(>KvkI&gF}PM+@JGsb;IbwVKZp$@X`d7s)URnw zlCWseS8#2jY7uA(t98z}q_@BaLroe%zH86^^g73R0?`s|k_RP7i5~<)9S~DI#v31z z1$JEHnG*KhBq%YC5>@A?%p*c0*apk#&7_$AA-*Kqz%bVVj%%dQElwC^$^>WK{LV09 z7jlUp0$!iXH_H)lq;<22kK|zs$_zDue(rHaMKB0{EA$o##uFd_!;v-=D3DmHyTKx4 zbLkWbePg^wjNbxRZ9VSIHh&z=1Xe1nC4ZY~0SuzP#3*_UGLj*7538LJ8&B~fL(S=K z4{EZWc%?{uO>LGw8YIk+nLxkM0OW4w2mV%__{p!m!f^)b8K+_*I05b^Fi(ha(4=YO zq!k0Ug1Mvj_@W5{GYItYct-@y8pIed*bCrZAPtBet^%lrpQ?ViO%oR6kRH)l>^R%& zPPuyfL$9egBfP4`Tvi1D?3ff4$BB5Ygz=`A*nR1=3CKeM5lb?|8!{SvLOX}jVwN=s zZzE+YV6eAb3@wHgPudM#P4DeS(3Ox~HYSYLBjjjru-@GMzh?z+4-}T9DVb_CQm;dr zb*u=(Svuyl)=5}sLr>}^%nVG+jj^mPW08|kA477apHs52k9=oi>R`hC@&-^Dmk$ir zuYs-XNoXS?gkq?pO@3|Lm}<}hz6Sh~Rr}g!j;UBuVaUq^A4~z=*n4(SLnmUL*0NG` z)Dw<^-OYaDZ>igkIMQJ!js>vjg;3lKe2?ewvg7gN!N%&!nT^X%KJ!oEMxu4zSF9Iru1~%5s$<4>o>EOWHWoz_;7xT&8JYt?8_(`#{Yh@pSw>F*z zx}lccBhzE?M$JEvA$Zx&j)7h6DWoA=f$hSBEHX)LO+?^BKo}*R-W8|CrC@1nGZt<$ z>w~FEdHgNaBPXx>%<;IHSDuvx2on>!Z{+qX!mNezKKPrx=5D2zIVMoSHowQqFdUpxHi`J zc&?pHRt$G;@EZ^KyUgouv(VC(vR@iWTkkx`3qc=SGML*n89frjb-Q1PO06|XIAtf+#2A@5bt+2@HvNLAxouAfF;xZu9?PT z{(wukN}3~riP)N@$8l(n12Q!Txd{jf+GRfSA1gCJQNSxc5mH-|RexdPZAtKiJ}Bfh zmtOszxG}!}E&pm+uaiVrEzS0|aAMsHnevOw|4sH8T0>XfU@9Oig?IwXsylj+ZqfUW0{?H7;Df_%H zP9MrWQqU)C4s~%AGdzy#dPrX&N`-tJ!cue^%d%tl7t-?8pb?LL`qkHtM0a2XWQWEZ zm)1&;`ZTjv;F6OL(6ZhghjfWE??#rm;adRI~6XA1B)9)%?uRhb&a2ASy5> zkgXZ6y{yoWLxih9Xh|>`WIj2KFMPeH;zGd49P`*jJ(Q!y>DDm?I0GWf5=Bm>oqL22#1gi|f)Atwo51e&1POy$BZkIJIf1-gCTTsxpaZ87#WaLiFclM! zEkeCYu?9WY$f8TLtBF`~l1BUFCl2rPD!kx#=dzoBQg{}kzLq7J(ZzxC+3qW4?xlbV zm0Zg~poV0H%Sh`JOr?xD5D6c2SPlR{EK#5%)H>9(U+%R)m<8#IS&*NlicAVX6NxgS zP@6qGMS*ocl*B8DZ%O$2PJ8LpFpB&GW?9M$UHiOiV;EX+oi7>&@d$Djhtcpj3#PNn z99qhQLr0v|jG&*L{n1}OP9#G_03ks}M;yPxONTZ4h8R-i43shiFhOQHB81HMbCnhF zr?SFrH{a?5nU>rG6!HP!TqXcZOOY1_!JmkMvaxQQ@xmHo0Smqeu*0bhJ8!jJ;P^7fohkzXu>$*yu!@DMU2ZZq5%UBBH>K%#D4C3Wjuf~f*p?`6>DoBnS8gnYz}Cr~*S6#;rD^2U2z?4!h*o7~ zsqRV1WZfRu%FE7jy_fQ1?XVBDz@)9Ar^ z=&D(3J%%UuczW?{Te;;T4`4#<%u#}A)BSuf@DE!e_VqD;2pr8W7SCZy+->O{pDr$? z_sbMfqOTjVO>Piy))}b5fC@ePJ!4D?xR)clgQqWpWHnz3`wx3NyW$imY1Mu{Q~YzkeTa`AJ$?0K9F~uNYvW_F{h%+$Z;YPkewKL0 zX!kqCs)R56o?1!u#VYyr7q8?yzr2GlB=2e8a!MuNK2$yROUWOd`?m1)AFZr>_8h;I zY~!2`d~5Z?b9HRF?&N!~u8i_}6BCv|b*yDXqQU$zy?r*}($>^^VHWokxki@3x zf)3q3>}3{uf3`Mzx$}?R5;K+SeICKV{mKVr+2u7AZEAI^?_Js>Qu~4gFw#qA?j+Qs zZy$SA2S=6gqsucx)!LFu?f3BxqO^64oo0^M;l-y{4_7PBBnVLB_k+qBOl#~~yuON= zA*3RCx`|fD-C+kG>*t7T_(B9xd6CNp6`W+Ekjl$pnPGomr`^=VrN^Yk9G(cOYYX{k zOZ@g9FmK?{Wr%5}_8|M)j)Q?(%WzDM!LP)*NTt@%rXWz*!nq2qXu8+3`9j52r>p}W zuPsnLyZc~3n0$C3R7F<+MK&3??BD*UODpV=WGZGATeJsiuabM0uP^Zj`1AqIV=b<6 z$xG>NIUcpX2z|v|gFV?I!39{~4%)zPXECnmsd4Bx&G4-oY--~V8G>veCsd=x)u%J_ zZv3-1R@Ou#lhXOMj&QU*->IA`IO7>e$JB*9e}#9POT=9lx_AZZh3tWzR#3fWkP?dnfI2hiZM=;chqp9 z?29Yx*t6t_O1x!!^VHcq4MTDExWlz}I4xqQt`Mg)!AlqNHdcGpN#SsOMkjfoz+krg ztoE@tXKH37t|ZmC?99e@SNKrDwo!DFla^99ZXq^^&Nx#Af06#%K!eSOP&S3l?Su<> zkFoGb8r|ANeo}n_7mqBTsB5^>yhoVhm6z~-eewcqYN|R@e4wx?ZdTmT&k_Zc3pVbn z`u^s4N4=COo9gThNiDO=5J4cV4CH`EODL7sS?8OG8f*0(9%G0+*D=HXCf27_P=*1q zfKDWA!hrFm_)~BKdOPBku5eveVjk{%-1p0%gF8p_mAzFQ1m17(H@1v%H?ds$75B!w zU+F|Vf{1lBgjdT{Qt^b%bmceh#V2dToDrl| zV8R^#+a&`TCLI$(s15|$xBDFME4T_OV+?!dxnyjZ&xQmzSP-E$YJeGp zBv$AZC$vJdp-bcgz8(7uA^EgLSdNt!=&F|YMZBc9?x!hi{d`~s;~3_CUau1ZXxibn zAK2x}4)oBd&ai!1`ZQfxIF-bX?P!Bcz{?Jpa*h>wS)b71e4=n!F*B)exf1E2uVH9v zFz587W(a^1p?!FT7*%PW{bE01NQgT!^nnUs1%9{IZ*+w4XT}9Snhg4&m8rt#e41%p zf~%cB5VbNjrdv78-2I10NS)O@()Vn5n%AO$Q*o6D_+ir2;8!$NNP`$OXeKaGkZ2kZ zUF8Jg7X4A^4#8HNvBc8a;8Q6V38mAUemk1<@v8jKMcCwZh$2EC*^WdNu z?4fr8OlrB}FOD+Z%I6L^wQ7VOBqL-o^EGTcP)eZ4IUjWre;BS$) z6A1ufmVpDaUh;uFb}Hi7DQ+limO_P&ES@0bAlPp`(@P9nD%*FM_5( zdN4kKEmerlwlaL_d3N&#=5HR{ZUUPwqF)*_od0f*2{SZllmtW9p*Ir3gaDgF4J5N* zWjSS4=Q=z9u$N; z%{P^YN0}ArLnCJ3!GM)%Krn49=A-!LQ>d$_(!GB2r8^6U3&nJAsd05cywOI#y@AhF z&#Z{oL{a=xXoPeoo-Qs0aM~+uYw$l3{*-`QO$F%_Bh&iIb8EUXzPDQZ?V!GaSF-DX zLyBq|AD!N?$vhPC%6Ktl&8=>V#wMf9&%~pXQMpX$}+#4#aoG~YZ`~7RC^fYgIz5e9Kzp~FefF-@&ClHO7kOJ?G<$+_JdXpF5 zccl|`yze0M<<~#_)%rni=+lIdm&z`M&7NRD;X1?bEf4TP>6r#`9MxSZ5Yr!_S2DXg z17EFI-CK+PL9dZByIr3bClxjE&dTH7Mts}S@WE@cR}S-b(0#kT!|4P*e(6}k2d1xf ziOn7zPD+<}*T)4;ZHkkS(W0OI!*=rhL0RA;mgDo z8&Ly+m=-?nIKbRNg(2gW6Vn7@qwfvrg*{>7ggp)6_1g%ieQ&t|(%66JeY69YlUX}Z zE?v?hUa1D~vpVC)tM88-`QPQ+zerq-KWcy^+PMKAc!c3>15BVS=`%4+`y*n2%1{NY z!)t|#(TUt!Rj5IX4BPUzrZHcq!jq1G@Idt(g)B8HAcf)}_>&{=V)b2xtZjM6Xd93k zZF@bVJHa^ciW>ikM#)``4-{@mwpQQ#A6~(CboLM`3I0cHlN+HksHwyGf@H;+Y&1h!e7tqPytt6+tt!hPUT>#kvx&Uc>&^W(+T`s7w zT8LG6iAVb%LAXFM8kUv0X-YCcd(dgYheG~g?R)Lee zG+YCNzO$NR!Zs_TnHI~MP*BqZDUUB=#Krut~Q>2AW zZ|i|av0U;xb=H2}obDetplDcwZ5Eq-a#slK-B-pQt77JbcO8Dk@{;3N5H(ZV;#bFz zb&wi9SQf^A^0+1*L1>D%Y6)fa+cy=fFo_Ql*}1pe|5Wa1#d}Syl-Xq<057somGDf| zVNq+kYosxj1mU>MHUqA*DbPEgSM8*LzM_pLV3kIaS|@+@ne8Tu(BA@Zgc|)KEH)E$ z%pwe1qQ+AM2!NDTZNy9y+!TjIdOcXjqUQfYz`#v0%N;#WV1!$H7|5LueO#4H@%9{F z#?5Ya_xZu}ag$Lr0^Fj&R3&h(N@4RNrsF7qjLPaU*n??d~**1H!eoPs@R2%Tv)$+l|qOn{c4)3wLp#_vO$YX{&r! zkgWnIy=QcYk8p2mhGlovJs6`?DS{l<`Bb*wI(hx_vwx#*H8{_?|4&%oj!(g>s^7R~ zfm+^>bOEbx$CVp@2x4{b+<(jU|FZWs;8C4dp64yO;*=dask&6u*D@W~y;X=S@gXFL zBeN5uDoUcP$b87Gn|8YsQ^??E5@Tc@x5txp>Xr&q0uhYc&n~t*Z6q+p**Fg1cD%E* zPe6f~WbxyVFbSRUbYkIOmxV}U&O^gQ#F00H{Ax^?dP{Gb0h zulx<}1ueJiT(0YK9{_xP=~@kZU4WjA!K@1C8OLC$!@Uyi8a(6wf5Az+u_aFVFZvi4 ze5Cxd$*EOS6XB|sEBRahN-X2slPfS3d85=4_LxfRf-FGdLnPY@h4Rb4ll#5K8PZ8G&Q|W1UIwFusCT9koR; z&?N{9B~~O(`!BSFPG+1vf*@tB0SG=lD68tBQ@&sa2W16E(!an58WY(TOGe0&$o=DH z%b~EF z#Ly0s%Xj!XR`6{C>`aov63*--W_iFds4T#m%w){=Yz7AJSYUK;FQ?j_h_PDA*LBFdGnHWP2^I+v2~@2*CLBpp4Z~PLY1aR2nBj)) zVFq<%<>@_E(zWAV@wi%AE3&~4&`=GLBAN?)p16ddU)C|qun$7qVn2T}PQ-U_f+)5f z)D4P|-+MI|PwlcheDRJdYqR;@@hZoQ)pi>?nJ9O5k@beq4vw=VK~p1wYaD zA!WbupMCs5e+SqPUm(Y`wpck|^}nL9XPC+F@nnS!BQ+K{6>zvLYO?9wBp;rVJh&i) z8|3sbXkYnTQm1}AJ!o|%%v~Gpoc&bTS_DGIgcQ@wxP5E(6w5;n*g~J=WqrQ??8U>> zLdm2ToU+~W;+)+Q?um!P$yAIbpUkT<7~#ebg)<(t6I7&wKzNol=>QG6IQy#uE^e`U zMf|3ClA)a=;UFV(+rzD?@T3lLrA;Wy!tCrR-WS%kJrE-JeBov8M@_J70YNgA)E_^(-cS=>pP8^MO_^Ka` z&E~}=?>Nc>SL~_-LY;yA;eqtE5H@l_Kt4=Xw(Rh^IA8}+D4fJxLXnV*np4;EAu9bi zP!85M1$zQm^D}N9M>q(YxZDyrVFCYP4 zCjg?Kd*p=@L?L&_aRqWO2Dh~fUVo7K9@Wkr%D@(z;d$AF{~s;v{vdFTLOv-zeG7r8 zBCJlX!eMYDA#w0Fp2Og0#Rc2Oi0> zm#HPjjr4K7FmF`JAwLq15i8!!w7&0P;+PN_YhLEq?_uMU?oC-|7i!X;t zAzvrPsybqPJ1Vin^}Y&-5Ia!K{cbTgbde6`Vm(V;G!Cbve}=>eOh7|EC|V%sCtZ;b zl>KGUHBA1W&a4K?txNVS6!Q+D94E#6VQpKKPA5B9(sb=1g zv@df|ZZF466Hvz75L36@%XlD3nqYpZ084g3tuX`YaR2F@5O_G8NPUQX%1J) z2LcD>g1lvT6!aIs-Tkx>{0IySfp^Gr$q>k-O_TI;xgHc~0c>GIfUmdL_>CEG0Hi&j zF48NHn;#^esM8flEryj%4R^(fm78EH$^#(1P%x!D4ta_e_u1=#e8?q4^d`=cXvTNS zmlI-0P*>mD(gv@e)Pw)YtLIa7{Dplbs>C6AUNUFK`AUz?BL*)ZxdALUNzCCza{p>% zQZA{#nSj8p#(6{@?0Lp@;XA!1ljw1@H}|WfpNs;&#*nnAC=bKKdFOS+hZ{(jv#H^7>+{TGCuqVldIgNydHVkd>=kXZpvjziN{3isa5M* zR>gN6yt6aKf1$AUdQhPrhE60K`>T)V8pBh8i0B{m`Fec7PE#GwD9^(iYzbF4n@LvC zq*~s1DBcZD$!zsB&#d#|##j9KV7LS0Cp-ycd4wzEpl~gS-*wPj=mDMQpiVLIMzWD) zo?D2Ilu$qPF%9T#jBl75uFf9}_r{New=lYAZHZNPEx7*CSkq>Ehut^iCEoqpA4ROM z*VKmQT5<0ng1*D!SA2mGo4#&6%4q39u1<5W(TuWy298?(wd`xDrR+yK-X3bM`Qf&j zA2x>LTf%Qz2fbY1x*B|ToQ;GnOyqever0TYKHu1qf&+FS4BIQ**BGuo!ss3@bxW9d zGYP^_(z+||{g4bsAjC&SB=?iH^!hqNbDI}uUkmTG9tpd>>)hB+a|_;CXa8!&@>*N= zFI}k@HF*>@ia&MOUk93Xr9j{Er+0-t^=duGC+sQ>b9FFgaa)Ra%a8C*zHrK?vHJrc zSJ<9hY0MvuZ!vL&@8nXge*Gg4gM3-bUrKrh>L%vX(7QlXU8oF*me*yC(SP1@Y_rBLgntvw^` zJ=*2GxBHJ;mvz}xzbtK5b4OTxYmBWl5L|*;MzdJzqUu^1Fk;=)@}taL31_5Bp2hMc z{H~)b41j^wFN0yVf+TjxR%sX+5LT?ifEY{r;$S6Vq~lAT3QysLtg!H61hIhY66Z!` zPOk8m3}!a~Y13yAAVAuQXm2zf)*aM_c_y26mKWph>e2>I~GUJ+{z% zBD@grFxdz$d>{~;(*5gwi8K>>gc$W}+tJ9Gdc=0IQ6ndQJaUG|c@=)af`#Zyyug?9b=(;zLLv3SMO z6o<_D86M=T35dM1BT23(8?%yf+_|EJ!JzWX8Fbtj%zAFj0H@q2&5eH;H7nwbcKUek>S?ljt8eL{xbUC+ zz+JK%EWaaqIOPiXlpRL2r_QXrC%nwDalp8E_4HanNhg`+t_)8S*BrBvoCvJWw}jPA zHA_4ANC&UtC=i*wBeVkNy#(w~l+QTGSb!h&lJF#ZyJ|xIh{xY7XcFq$VLzLtpgbWb zIjpT2!*-I!^(^E%^ym}&+=bwfMk{y{^N}oxIx4e{r$mjHB)J3ZO6Yj3u<{dOEn%z? zwm_*T`?0`!gkrq?)RhZYctj*Z_C~>KJffu#f{2TGUW_4=o$@NY_%euBUh5+;Zf7PU z@oG48Gqc=I7<4R*YReb5Zm2pOW;8GPm+aVL2VNenfl(z^EqM(v5;&YXAKu!M&+rf_ z9?;WQZz9<BjXqHpiKFCLJYVMVppZbz}f%vW0RQ~&PH7AiE-ypSy3 z3peAzeO_rJx1vzR4k&W8%pOWW3gra}iyz4$Rqjun@-7}9q>Frv*9Sb7my3yRNU|BX za$K0SKwvQ27ss(?;^9dS6=A*afc=#hKA~pwh8;Zq*A_rQy4Zp5C)+5E(N=Ng_u>& z@LD<+=z0<4to9wvtGaitqIf-V;oXelZoH^^ImbS6o4QK*!SDau8-|^<>Bow?slTMt z$@5ltNG!?dMA$EUswGZNcvZHMVIo@cF1E&n!;I7Tm!w+B-I72)GC6?7;tj+ha7mBK z+Dno`0zIToFAYWbLY1z`U+PW&oZ|m3^7kn1>Vi)$x;L{t<|X4XFStCx+MTRg9E@`0 z*g4U=)J2JDys9E2Y2V~SfGVSTqj5o6s9;xJ2cR(nMySBH~d*yAhQfw|xVntHw#H*-5v6Aojusu}= z1&o$uiE4lF5lYmrhFHI}#I<&jQAcQ)Q?eUI2o(oP;5w@KBL%kZaoKo9ff}4^EkJbq z^ch~2nOgvj(5H?EO^y^$lhYolC`5Y4Ab1yNPTM^ zO!AZY7P<(gQOiX=CvU_2NhDivCT=#fB5|nposIv-NM=OHQomL4uZ-||Gk(4|8N)Al zd`fDAOoEGN!r*#R(8l@l6hAVVYq_b4{hmJ5w$%(I_QrJLy0DFP$Qc?Wx={CK+ssiBvB3OVpe@J0LJa_GF;$R&&bd&3oT0>vYGeG z1!q**5O=J!;~n7VIyd7UGhjyt*|5^LY(Z$!O*ZU=Xj8~b9QczRv6~pZul`)v-`kmr z15bu?sg|%DlH>Q@l(0pKZ?r&V8~)pGGgBlpioB`iNc;_M?1-IvcFJgh!xE1#xyeg{ zBSicNnW1iqYvjx$36YRMQ@bYbw|6X9K)w_3?#RjmH?qAjJY<|5A0i)c-`f(16Q%*A z_&;hG7i5@1nQdEgB_0{j6+WniFGKuQ8+?#m9B;`kJ~fp^#f+M^f>nWL2C-TQc)Ds$)lw)^?E{~v%U;oC z1hal#VqbEVXF#rum{nMM97BbmnhjSi@gm_#<^l7tyigZ5X(H?%aNx+Kx^kH+*GvJx zrHpMxPZh(}Rqg#TBV$&jk}EAu{q0-sCX^eVHm_23G`4 zMCbU3`Z7K!Zwms(+pFYcF`|(dw4qR$Ee>oZK9M<;Xv>Dd!0-vVU?Al1jrg>P={4mt z{?ybeaX1klv4~HhiG0p=oY}cp(D5fcxnu-1*RD!1`Ih)zF3UAjRjE`0HXa8a?EOC^ zQ3GBxav|rlHf}Q^4s$`^OL-Kz@Ts6}s?U7ZNwRfvk;YW#EbGT4_?=uX#*NF>)lAWn&>x$yGmO}xL_;-JGCQ|e zVU_f=DHY2u&^Fu_Zy^ZhzA4?I;suD<*O}BnRx;Mc3(Hi+zmy;Sf?vL{u*!=uc!*~3 zXt)h-(VoqVlE8UD;x6RtDkG9WrV&5dA=(B&6z94Q4zu+2*sTFLfFa34$RzpthqH1a z`NXMjq_vE}6%T|EvN1!1Y@}|MFVKR-XRFU3M?OZgTZC@}X_XuIghWDjIP#g<$@1@s z8)3Pc`kvq!dE&`9@4RI7Cv$Lq45=}U@SoW7MKcrf{Rg!8q=FO3!Dx9cgmjEoo#(ls z*a3uxSzP?HM#pbO^6HZvV=nx!)rI+1dE|!ijgy~2O3JPfl7Rtn-+WR;AvRc&bW4mc z(_b2wkCLM{ajCKoD>3UUB(r>mum9d$-eGDyf=j$fxEg)K>lfcf|HZTU!((za!;?2U z4L4~~7XL_5fe#AS@a?J4#C&7z! z=AxE?IM0W+4FZ{LSG73d6&Lwj$eE4K#S)=f9$!3`z+^qrRDvtOzOBE}U-r4#xza@; z9jl*r>akz9q{DS>$DFyzIzQ)e<&HM@bp*Vl`Gd0L#_aPRX>77Wwcbz7W6@RBZmexv zIytj#!QUii=Vl(d;EVs}pnXl0{;=6RGycW&DlbvE@}WBag5zx+NBVwvBo{o=CwxuV zE7weU|D|7b=Bh7FT=>P7Tex!FF{k#Sg!5=RKXZ_~_{RFHfv*mNHv&EHwNCU5IP-Qk z)el6cH>De^W?UX8|t2XWTdX~I&-A4@w&+)(R9Q0XBON$|N3() zR~Fx`u;)AH(us!HnS^@B)y}lHZ_IHyezVrTaOExT)}CRvxp%;EYeslowPzBY4b#pg z(tM|U`|iGm`qAsW%f)7#Nem{8O?#{w@0n|AJg>j8;kTdWg3VT+z?`3JzR}&9-QT=( zPW{d~H#V*5pZlOwKjaKJ4e4{pDKWgNZEf;c+p$&0est`+XWBbnIwXR+{pd^ag$G{( zrOj_R{@6$}m)NH+(KO!~Nt+FgBlkwiCK>4 zyzZRo$V_1Gx0+1?zj-x;kRXqq?V zG&yxAq64+F(zBeqYf+n6?ZN~|{+;(FZ~tbwP!8XZK$hm8+=ft|%<_8bhi$|%KRTRF z%tRQ&X{Vufc%{>D{eUyy=}vb#4YBo!?!+&?z3kgsBpY6|Xb-5%Z2y*$N!^ns7eTU( zjq{`D(hCsosAXMBWh{(#Bb;ERG#e@U+?vz8d^8M+C^b{|#G{eF#hT=u2r7}lu2?Te ze=KtP-hT?Zfaf{(2oCkoT0l|e%p2huJN=)3&}qP+a6PMvuBYv5$(xgRKDg-q)R&dx zdE@BPj-oxiW4YLYD;hUs?rdssx|@bOSE43ZlY?aDH({LOj;0PL5>vYqw|#q5|F^UL zU+e#N{0_GC$nXs!7-dk=Zv4(IE9ZZqOgfj+7!flK5UlB5>wfpe=Bgb{GetP)%v6zr z7!p&I}@$K`o*|BX33OuCjL6-(Rb6cX7|So6XL=0lbYf{oZ-6IRh)FJJX%J z)3a8gG_|p{ZR?Y_u3DJ<+M<6V?JN2)lC%78%#>&<3uc0-sJMRuDq44hAf(8v{4J$8;Le>sm1*0ct z{>mGO&QgwMPW}F-6V4g4seYd`1o^qtqoWdZWVF%*3?V)Xssj2y>jVhiZAyJnHS=xus(<>AXY5a(tUc-Mb56FM6DK?z zOWxeJx~uhEa?#=CztutN0+gA%{Db(_{5cW2Xeld+-bUpcQgjYO4DL_|@i zUVO7NEjBGSGcomn_FsIv6wy%~ahtE<&wqc-`vepf0ZkxIp^6Ad?rOt3R`bRle6ic# zWSR}p^9TdO!u2SxgMPHJBj|Ikqd8&t^C632|6&roZvM&sFtE;?rw4S~WYP zvzq52BYy91dUNW|)%Ck;?{;P>{pnhpIF>w+u zb<5=&nS?))`BEJ8hWne3=lApI=JiiTOr0o_j^_9uaYDZv9^fDE7F>@=3^{$LZ_}Q< z`rZ2o>$6Ya`2R&bT9J2oId>i&R;7m|Jt!vOZ`w)xM|ygCmJVO56JJZ-d8RG7`mMKf z>)W-P7HeC4@bnZ5DOp>tQ3h9s!Cl*Qow=`Zq{(Uc!dY?E)jGHPWX_ zh+@GQomgINa;;?2ZFj!AsQuYRIzxQp=xZJ8R5Fd>d9Q6Bu9IvU>s6-b40m@n%pGZp z&aYLD`&@M8ES&+*OelR%kkpj|ekrOQ-+sE7B{L+ zh>4VR)K@x{K%Zv4>kJjL0F?zsH?&}Xd+`QRQi8KjO^hUf( ze~h#Ud#N8vBc{cPb6sT8%eoeJ-T7e0{i#B1JA6BF|FBB+(55V@{np8(;sX>M-AyB= zGk?yxG(uALc9PT=_tX$u=BTj$Y@t3--dj>=(WingjfgIdsHA8PVgKxc%Mbflom3lW zxL6&!gd*(3d)wzE=*weQX;CezwPrXgx`YO4zof)N3LSA>mwt))_Wn<84#A9&Uijyl zXStLZ{GPWnn!fGn)pw_f`ji=x6CoVD_u?cv^bdVw{E{-atsX&E97=QD*6c`gA z>xxcZ!T8cDA!y7iD5_4Yjd%2dFfZZ&L2ND5gz;e9bf4apJ}o z|KfWV(Ez#ky@{}OHAR**t7+aD)0rNfMfSL6sI8z!H zKgqk;S}2=kz-xH*FWI=$E4iqW{C<}-8&jbwn=^f3^Yqng_N8A)pL4{0*LE#z`x^R0 zu=`raOSvtjK=(>~>7iFJ6SP~BNz$b!Q7HRbxyXFyubO@uJ&#jrI;XONXmpLTIF&Go zHkHDhmAc=OU+-AgP=Zjk-teR@%SIZ-jde>2a`{y&D3!7{v0tp10^izf?`WcY=$2$a z75GR-32XN^sgls8lF9L}*o;Z}P5Ec6?VCK$XD$OB=M9+y(T3=~O(P`R z^4R8IudGhuo0KA*WKC0V8x_!YD+kevBoO>V8JplAy0F)k>jbo@Sh>pOxSMYGMR#Y^ zIc4H{&f-~&}N)-kj7|OQ@XS(mo+wddqR&e=;;0+>thxj$_u8uuNil{Sv*qx zz`eEm%oEd2)(WzNE2&-FHe6e~Fs(GGb=g~oA1Gu1Qm9ErDHS7O4f$Xp`|8M&ubrc_ z$N5vwtxOZv(v;>@Yob97wd)hqBjlA7_TMgoI-cM!s`A`M%B^&WY+F@pcA<K*@`b%xhCON69~SuL(u-=2KNmlb>{lq3jTjP3pBd4N-U*P4z?K)YhDHRKd1tb)t2B@;-k3!#9upu2_>$uN@W( z6GPq7yp=Ma;CQVwZ(rjuIg;}l1qFYdqso_(P0LMkZvK=dlVr&h`jQ|mmugnyAL5G$ zYxpAY5Aj8pi=rKeN17A=uY-wlNPlhB57-V_622&QK8PUa$k zO?KY3ZG`lVvsV)Xe4E)UYjcJZY+wBr}L7A5>#-D$&$zc z(57XF-rlwBNU?%@IehaJ?T!9EPco{K$R>120{yiAAmEt@huDvVB!|2YNb8uh%$c?e z5os7r5+p5VdG_Lwis`l~DN+%UoluIDLouZ?kLVj8}v6wwNZ{)b7ib^5>+x42>(d)EJ2OhB`9fRCEGYo1<>ltfO%dxC!V zs-Gn>vQ@1QE;?R9kzR`5JmnSDvRIn`RwZ0YK8l$sM+6L6uapRQ zjY8xP?L{kFo)>&gSw#UuDkeoG4Vp+&r27++I}!n?LiW1@O=dGU(Tx>}uhtk6_B7Ps z{PWOY$-dOT1{3*geh2$87@JbUN7YDwvN7XUYXBY(%QG5v@X(-xN|sp9BtdTU))({N~B^{mv;znU(;M z2}Gov&vzffOP2{qdFjMY@&Vf9HFYv#_T0Qr^{!xmL!$n^W~y|lVezo(S&8YNpB_bZ za2~pIwl;m=s%1$cSr__qf9mT+i1y{Ms$-=Vy2gD{dQ@A%*ywmrZgh7K-x8(%zzN~1 zfi@HniQu?HR7jxxqLuBn1Zb0Ak~tPSXCfauXXZ#$dL9#q`P3h8iom5fmIz5=-Q&Z` z_`y0W5+T(pA??aF)c}0j%d>KPP3w?IMjDHfSX1d=z7Aor>_|i|Qg&=WS=YI5h&z*lR47?n+JT9ta1MJwB8(z@r$c2_d(RJN_(u0 zqgl1aK~!_7`oGB%>@@n@A6ykc0bDFYDbwH*m^-*qm_pSz4q^4U#g>XOE z9*E=vkK1gw^}6?8MY?GFdhKn^GI~N30~w0$OZTsk_R#KCfV4WJUYNM^Ry>fv*gq+# z&exR#DgdinM0-dS#g7rL1e2RT7sU~97GvX`_~(XN@jw#9%78QqO$mhZz(-MRl>!x7 zXVPt*K+U00aq-WR!fwoN&CYQ%&WI`1JymNVfFYII@62eR15z@q!9itW2}3~a?IsrH zn;*N<0(=Di@Mwe3B9crfTEDw~L_V!w{?1dn)ha-v)b!G9 zS7|V|DSdkpuPK56r$%k4GbqX=fgX_mQL=VRB3;&2q!C@H>gW%ZkPlU}f_JNK>*br4 zxMvD7Ziy<9J5wRJ$wa)YbNl>_8PRt{pItY zV)Kgp%9%AMB!$8INPHir0r>Q^HM_-k zwCpF4Dbg{JmZge|$MfA1=s_%M0QqSQaZhJOeejK?|-6lse^=YC5wE*eKIt^3ur?I)u|AFSqX@j_B@9BUI2$eFf|UoS7! z8&Z@l?JFjF1bf8PIID4%eJuqr9V46=k|Ci+og7jCsW>_vfvv$mN^qlel~n;H_)wvp zCbhlbLn@h`P>cF5AvADHPzeM_1KjWF5i>(|loZn1)@p0dWRaHopaww(`E)Fo&lAPy zQ)l|^wG9E2ald9jeo;-8DoR&Ha-#j+d(Ed;i!Yj$rfwC?x;uuc(KBjW^qXB9iqwW; zuBhzoNe@+05Qwz#d4Y498oqFB4j72!L%gG>a<^b&j#T#w19`T+loBzwsXBAs(o(-# za3bB!k4iY3P_*{|o%Ao-Men%HJs3OA5HMC6Jfr?7Ch_B3dazKK6|sGpMH*M$V=MB_OM?%^kbuCUD>sr>eI=TAL;ZYqrygqz;pD495 zeFf`3(NvF(kPSsCP@6RLK|@Uv2dOzkhww<Mbx;px~&S?^JjHPuH2)DsiKlyFCdXg{Q$odh${pMrEKTLalmb-oDTN6qj**3g&T zYuPI}NCAgCOL}QIr0H`WIBlj;A4fW~L#q^E-Q7j8u|D~;LuVGHHWt7Ss8+`gz1F8I z=xdZOs*{9Kbw18_n+=~=zFOWvk`WY5s8&*yNlU-vigb~n{j926m8mHxR6G{o@BSF|v3GdcC0$4;(a^iF%JgSNB-=tC7i zr!_hRIbfDRvBS}(Y0>$O=jSM18fJocG%-p+9}O|Zu`1TCsxT9WmZycHNg;HH3Rq)w zf}Ib5f+N_N%876Q{QaWqGchtGqhPe6cp7TIi;?NRz9?9+HQOG5T4iM@?oa>a^9=LJ zxOeYCI&h94Xy7_c=~~gsw()G|4hiWm>_So0#+hI4@XVDybLt9g;J`%B~-NjrpRgTNTt*`YQ%te6rvAUE#+9r4~c;Ck-+1!Y0ljG zU#?^^!d8Vu>N)=*DmjrV>?Q6iPn{OrLr7`A_;wjZQy@+PszlXo(2KMRDfCQL z_o_0xd}mD$mcXbK5)qLUnR}uxNk)M^BcjnlA_qYH{YmuNtb06nC%2Lnk<(uiB69i#{}w-qUSw1bpv(4lR*VJ0+BRkSLaC+ahi zoYDF%kyW!otar3h_fKv*<#b|H_-RxJ-Bv=WJu^_QIy$250y_(A-|-l;@Am1%Z)Bhs z<=^!7T5ozMvbtNgld!li{db)wqA$=>99RX@0SilXcO7dJN(fEGms1;xoRC-I>%wp7 zW{yOBc*8?D?D_P_@r;CsUx-(&3L zP3SX?lv(b)p{(@sA*eO&Y`g1$-L-2@Iwyq=vKRy;3Gs8SaEuNg|4Gr%UWvbU2;z)R zis+k3(zxFxC7NZ=!^2K%QYczl;16L~ON;{+p{XcI5fOh>NNAF(H@6g0>q7Mbk*~m1 zn?MN*msF_Q>uBYw!dQptdS}3%DY}$ED5|_y{(5)cOsbCp52R>yyK=B%YsiV%4lzIV z2_Q6ZJ}UjamTE83R^~S_$h5Qc@mFIh>GUj!ppsn^)!INBX?iR?^qbt)L!+W9^{DaN z3M*=UVvZCbvq0j9yB9RrBP%7MIf@xVdM%ZjLaga}JXP%F3volgI7w+n(Gcym6{xS0 zqkGHT()jFZ1s@1yNgxCv&g{2rM;NMSyGf4Di_uBSFCzP$Vi_qgznDJ?9VgGkSZN=>?G9EM)5ALI~Ih{ZjTobk0 zd2vmbkBJDKU4n_$bM#aa$eNvwJCkZnvCKrNwB79n6gfv^)9GU|Q-b`M0o8X=DRcEQ zg8vfnz4<~0DX1eJd-|=n{RX!68nj6fXh3CSIBwxPEIfp3Dq?EyP9Z~DN-3i5XFGk1 ztymqd$%O6z<+^hwK~A^OqATY&?OUO&PVpTyuW!`sq{pbQQWoB*`$++WJ~L5@5q2W3 zNgQT3Qflt;)%U|!JD*4(9Vyn7@$sy1O+(t5BPX%CLB2u=4+@#Bvpugnc11+i1Nr9zC;ZCJj4dHzWc;77bS zu!!BLAP|}#=k8UCB;bf}M5+I4=`YejQ{9hJwI=>srP(FwjF7K2fFwyI(Dj74k08C= zlr;8Sa)1{ZC8nR>t$|t1!_v@WjFAu2NM!*f_npY*Gj-2|HgVd-z5kXSEi&&RUP)oA z^U30?d|mt%%Q-6KA$q+=*_Sj%9{urxaCb(&eLUWZG=2Qi-I3?u0qh zGtdZjDDL|#mR9D&ckc$n7Ud~eno73^6X+3SV-@3kHK%WXo~=h#-o|wb*%&#=h{LSz z>3@8ij5kO_Rv>;-0lFXy;XNKZw4OFd5sF;L0FkczOKr3#;b09-MxS_eb0Bk(AVpyq z;g{w+imt}ea)BasHx?b_w}=S?DKd;3p_$!AF5h0r<58(d)hIbBE{#Yqp#4B%h#l0|68J)ki7BRo-?n14lD%)^%vX` z?v`N(>02rB=(ZBfTPVYUk4?E$fw{MkL-+l!!x8nUd6O z@QaEnL=vK7wGbyY;XzTb#3e-waQ5Ywv>IBIYah?Qo_~ZRC^T(`R<%e2!AQd0PV%n} zOxGkjkMtXx>^k=<%Q`jdPSzb*f$Ae}{Sc|Pl(Q$Cfwt*$?{;ACQKG9-MEo>`?6KrB zxYwh#n&jDEr`nfazXu1!+6A4#Lgn>DUz?+9H68G&ibX6i9i$eaMO_k$BDX3iq(A~y zLXjw@b*3yEy2M14n$A-8Dq?AN4M!I~` zsidyQ7)h!X<5L6Giab;r8PcvsyeDnxQLI|gVidWW{AU8>YR)n&Nd);AuS&XAkVjD{ ziLqi*AC56+4)vUs$oqHAwq{$boy+V55z^rOERrV=$~knQTJ3<5i6VP2Ep+lXxu=R# zRO_b5*qyiqxQmLq4s_cny==<(X~&|11PLOotmj&3BBoUvNaoAdkK(SFjwF^q(`7Y= zs#c3N#spJBNpl3~=FYNcid%mLk4O0w{Z0q+83?9G@fg&A2abgqAts==)?dkT1vAob zi_G3JB1uA` zT!X8^w!G6ID_^1K!rhm$>=8%>7>6xLWna_owwfVQKY*X~-O9wnXC86S`$XQyLR1ba^HO zYP`>K@T^l6rm97f#*!{HCoPIB1nJD_f9%JtWISX+Eu}g-&LFIDlCd>fJ0ShG!S)q~ z-QfocISX2{OhbgD>T$z6rEqMXjT^n{?nk^?!; z*cik*!!3CWWh6=zI8`l@a^KP}`w`gcK0K?3bp~KxV9Frw(n-G2nYRJFoyLSh10^+C zoDkZo{P}X(w|r0g_d^Cg@uUz*+MPB%*uL40X(Bvna7vz}BQGLew0a8`-8{63z4q}iyU zH>2<@$wPFgWyt9l&OfIMlF-2zakK|^%~Okz%PJ5JA=MZThK0^FkYoNJM2Ix=tMHGy3F# zmaL_~l2c?|1*A{dY3P&)fmp5w`%EEM2xAn!+ND!gr51xlFB*&G11xy-8Lxin)2vgI z_J@$YMI?9-XP=YPCUdv$m)F*=U4`d>eDT&xrCEW)(qJf9OmS{`>*W27ObbZX-c5L6 zoC5Gu-lauH@F@Z>K>QjggFXfAR#Cgyppwy?LidH5a3X!qu~`}W;Y$8v)MZ@Me-Kj4 z8e8aaKlnj_Ei^6LrMS^q8IovYMx_?H5G8e7>5>8WWb3iF)-SrPz#cfP@RoH`l)+fE z#?^QUEQgL+$QbO+^v7T+Ie(#iq3Blhj>cN#W_}tq4*gOJ|(w zPh9Hd$J&xhRaSG6oJ?HB#iT?|CKTKqp|3Pnv80(*DtRbJg+z78N!PaT!woXsv%De(j}f+^fPOs_C!Nwhg(!jGq#S|Fl<-LBth#)7hH^$zZK zsrw{I@8~$15V#8h(!=f2JJ5*1gmgyqPiYKyx6N(JyryHWL}5+H!PzRcQ7G@Iam|PY z;7rOKDZId%*XDm34uv!}gmoffQbRXLNd)Dch$^ERE==64UUlcKtAu^~Hp^6sd=oYO zPp*hnsiLn=iavY^Qkr)5mcrd=DG8v$2TC_HW;=*3bqaW~FyIP(Of^s>x_RA&q^ zNfp-XRJ{s6S(tC>(rxJ>fI>tri_L}sV@dT^`a|DZ&iG$(XAB^w9!wG$sqyR6rVKes z(jo8Csw3WAi=g=ZS%rWx}>^w#ccq>84z3h@EP6M z>O;XyV%({^HO1usUP}#+ z(Z63*ql&JDhNvu4iAp#+CvTBs??vmC=4;baTWnym35~uqL0_m3s2-J4wSKv;*g=pw zi3ad1n#m|Z=_1ciTIJlLR(YZ7T$TkQu5yMqs#U;XCNhXS*7ZrFb5!-6VoWP^rQ`;` z`^|=WwTh!iM^Q=!9@7uW0wQXE<3EIg0f9r9eLJWwCQMuLR?!D`O?_Zs)tZ4-ick^>eA9c=6x(wi1D=CY3Qv%kHPPo-WT%*OCP#fSFaG z^sAjlg=nqfPa&&Tk6!{1ue_)VAHNm|8>^sPNY^qiL~SL`q_GT$SYs6<=LWzP4C4oh z0B6?7C8!We0As!L5CsArGhMI+0bOWOi&rR?)UrvAK0bLp&w-69szTUm?u^2AAlK-2 zehT9uEmeV{87Z=ZEK~t#D1#ZeYt=1P9g`B_TJ@$sM7vBk@l~S8OWMMGrwjI4=y!|} z!JxPc6-tU`q4?IUzo^?2V$*?lu#?T9J-$8}!`JR%9`?aR75`}{hiu#M2~U+}yanAT z3ujsl=(Cm-OaL(=1 z^ZrEsW`|y}=Oc#FPo>9S=y=Ib-bpN7cJd?klbE&m2~|Rii_kf2^uy+`2;n$Tbp}se zt_b){{@Z>r{94ji*;oGD-%&OC%pT}2Lp{vYy9CXFrdi#0C#J7|Fg<rIDoHtr+L4))oYY)Rc-HDpN7Vs}iba0peuiPCaBsJdY}F&$HTs za$7)~mC7HV`#^z(M90Jv>8WzEH9rGh6WGmPZTfq`V%v0IyclxrM z0z24$+aEgh{JTMyBP=&CnI($}W$ujrsnh+yuVbQO(^su2+2kse3k}0C8DwaGZd71u z+C$|K*rd=Inm0#fp^UT(x^HQiTda&wFBr0F^5o(Q22nq*Nl|JGUjg=0t=M9VZ33|0 zgr!w5$&z2w?2j2aqS>B&p0z1f&G1kDkuu3-6wRz5q|siHDZT0UPQSJN>D6lp^(^Cq zL?r8+a9?d7uxaikI)4TA(yTope^9|=k9aUKYSAqz*90K_Anih0g1>3-y9DMa?V>NK z?D6B*E+MC5g^HS1bYT#RHa(Ni({C9*v6fZw5s%hsv#OPV_n$z%97?P@1;>4 zK~O(b<~(8T(zZCdZ&wrfcI9@kEzIV`N$b@A^q;=RW>+R0t0D5K_07_%f_$~+?>fH^ z4VxKRf!--Dd8gMHqG9jzUV1?vcC_tVDw~8O!a@uOl8Ey65QEC~OMr_HvPL2Es#sg> zN|Y_=oAC727>E`)r5p(rz?Ts<-YKnD@0gycS8EMMOD`;QFcQd16;7jb{y$xHhsOXn zbB;BdI;-f|v<2J0kF2mph%gEP1Z7*a)(ESTmn#?*Yu#F{QRsQDs$};T^Z4 z6sw_jTxb=r0?(yb4$=zO*_P&pD#nD6XfJ>4dcwLjv_?lpWr;ZZn99akYM6m&EYro! zYkxC|O>_m2oP{(eggiulm>4;I<(lUcSkc`~8Lt}EFO`5P{aTbN6PaEhCKRzkzns}e z2(@s$8O27G=Et~{mYbv$Yc2sE7RF%86LlROW#`Jos5cXqT3Sg>57(&dOL=|>C;2Sp z4o!}J`@giy_{irhB!^|HEl0x9reV7B!NhGZbW2WA5L#^)S*zMDiWid{tP~*uJoIuA zl?vQ|qSf8Nl#Sh3zh9OgF!R$X5?iUnMiMr@rZF-q&@I;*T?&N{)5<19BibwnRW3G|$OJa^TaSAl`ervgdj*MWuKI{#AV|`>v*|`&+?j%blTsA2 zurA5M^qM;5$IA3f)*ih~O{~*aoOLs{N!r>dJEgf)TDGvMw3Yvo=c|rHh#>QxiglBexJ|CfR=5qE~pSrR9EVmvoOqb zLA{E^Wfn+M9buV!u3@UXdF$-~YVa)|__9*x zNM~dcH7On@G8H#*CHvhU^k~H(@W3ERtd5$DRs?GHgf;_C6`sspURS<)swYPt#82llgt)&3rMY`3voeu(6b_N zp$zjSbWCw-bOAuEH1EV@S-B+8jC7m@7*izxsVuKvha`zhV{#1DtQ0j9q=)S}sxwy- zn_|{aWHHr;@cyJ_=Y~YXBqJ;48iR<<%320$cAa$Zh>@ItE?de$KcMyVDD>0$S)yiTHAouabHxH!A0wf{*#fmSeLL2j2Q$4kz?WSG*% z)O~R2V+z_-DVa2Ev-@sPsrr6fI@q$VO!A8&Z|hQtY&qalA6}aAiJ9OH=kgL>;yRfC{&R;m_R(>%I{e|novVQa?# zWQ8R5{qkDJTu>qLXA+n-Aczaa5R^=&=BJiaOk%(VH*}#VV~eF+Om^v)_Ba$a?5TLU zpiER`F5_i*AHtDcfDae^zoCxka~J>hB;oX!Eqj2gg?1r{isg^+2( zq!_1#ROa=Id>+aA>C=c&?yr-qe}ALcBp2(ZxYW!;{Qvk}B%St?m8rB}AFHERI0?uv zCNA~(T8u1N#h!itYlCDmk3e@S?fl%ur#SFKh_lX0@W|s zBGhM?&!^sMpij$+{c317XqUj%WKm7K7f$ce9m+zGL}03@)QLs8|DuIm9D${~xSc^^ z=m54(bLP|p?Wo0B83HGPc649xZWln2c75xbOyF|s9pJCX5nnp#pJ=dIae}=VIV2RszY4lr?tDf3az4Z3=P8$ z5S&#viWEDb3}@BHSE>$Au>miKrRWa)xpbm|?zB`vIy+rCek)SuWL2hHqM3<9S6Vek z(6n316zacO6wFWnflV7f|C?YynVt-2=n;*5&I{@16ECEBbpjZcx78GklT>ZBQkEsQ zCnR7sl_`tUW%Yjj36s23SJPThg_`jaZVGRyuo%a8H3ufqL$GJqFRW6qgBsnLQ2e%6iXxIVu6$c1p~ZS5(cya z;a{c!ma%dQJxB#b{|nh4>6Pyuhl7vD_^Ae^QouIQ0E(G{st!rqRc!)uuFwp~a=WsvD%xE#;>^R?ztavQH3tRO`iqVNG zNv3d#UL%8(l4+C&J~2GOPsK_73mKkl69ok{f4S|CF2aVA;SM3>=%Tks4N+QA=mja5 zUS$rnHZaDLe+PUhucA+1Qyhs>BkN@&QRRt|T2%W17I{G_S2kod_KF`TpNUr5MF5Dx zDTmu1e`cG2HT)j=>HiryXx-tp?BPt2LYqU_TT-kP+tZ>q1-79yA(ii^RHScPMDELT zF9jYH$2BAi*Dsp-ZbIj#U9J#6W*XfG3o-xr9*&B%b~JwD`&DMngX*-Ig{xWV6S2To z7ck{Cjf<(_#bT!_`pPHs#wyK+f4!v{nvd+ETt*Z8yWiE^lmgmXXoCMlpSAf6tGTWL zLj1paXImzNTGA5NlyJ4))xl$prMgsPSb(izb{1r~XZz#sGo0;e|6ntRxpAm8YjQV- zI9Xa+<1%APOX)llT!jxd5livl*T-d_H>Y#I@uEcGkPD2{w=>E~RDx}2 zK%&Gr{J^kMvFB$1(=7KZTd!c0*Q#0J9bk{BBPZvnbL1 zc7OhRgQK72Y(~uoReGq;e2SgdR;(mhG3ED}@}_5cv!v86Ljg4*xWDY|7A=%{R@uHW zW}dZ@+oT$p;;BZ}y5_dnton0%@zAA2L?~E>LJKDNk5&hXpGxObQo6 zGhO&Pj;8ZQ+P}@>Xz_>JERH;K7*A16(!v>c6>A>9R@sw(#Gq99<)41$fMsrF`N0+o zuterB#H1y=ku?h4qj9C;65sCU z?~lyeAFsQL*>?K}dq06b3jF#oeo?L9p!rqakh)`4_$()_XTvJsu0x z^gNXe0P4xHian15;D9~kA2B1G`7t+R!;R2O1%wMHh`nOTkIsiwO!@t$Op+Zi+&^3e z)Y-GwYP8_JsF`DR6sj68J5zCowc~;})XSV*1pVvuyZ?suR-m)hoJ=f~e1T$RD5vBali3*ynxvypT*Y-_&wm%}<>h?KTL9lD2B>+WNj<2Oy zk%19`9?JGWRqE!8)y;XPd9xN?aclI78XE|)cN^7;+CHWXS}z|XK37uhabwFfy^rG* zE%Veref4{mz0K+vCeWjt~JK`t9fb!_`)f?X5!UATFbb z+LkL}Wqbh&21Yc=j0|ov4Cbe|NrotUMbx5<0xzbL+KiLh^lp;VEx-3WAG7D4^*K&N zS3G1TLDe8lj26n3W`G852rHw_JsPC03)%KBp4$KxP02P(!(1XMQ1Kds7YorL*JbQH;HvOaqEaWtwurCx)D0M zSgyir_yAtRV<7(~l*3n^xT+{dz3hE|vlz5+Y!qx=afjpd@E~FLZsG_T#^(pA?vCeK z8S1(7w2FK5=AAjbtO&m*Z zpH8m`auB?pj~Cclool8~s?lR46~JL!NzxL1Ol@B24}Hb_q#T5*HY#joMPicXHNlTtU!9=MT}-`x>nIRsP(?I2|* zgg_6p^(s;35>aOR<1B3ShSzYakUpbIPNXimNLSS{8pav3yS!2bURVVZu^-#S!cg?_ zUvCp(vYbk77b%Pjb8h759ABj#93PK(=CQ}0xki=Jjek}1FdY`QqM?*yHM0aTRy1>5 zM=80aa+If!_lRO{=9kxlw^TdgSa&9zrXumZ0#l3wQ)spHnKi@TKTkrrIaE-|y%rfI zTNsomD}Y6TZar=ygtL6+8dfaZr}sS|`<%qUMV@RYI zn<*=K`sIK^YKbg|I-mGos${{F8Z2kpMHZN;az|KXQaYZmOlpjS@y@cq)d7=@ffX(fGPr~g$tEF0 z4WDaPGGwi=#W9RU89EgiDkj*aWmx0O?0fHOlR?TA^c*<#QIX_{FO}X`flD`jF8(-c zOIYWW8E$j-q`V57qSS{SyRhUD(=^`w)BzbE5+;urvalK} zR(u&&ER;ONHgf)G#9rZ5TMz+A5P>F?2!++i0AK~>84u-QNaGsAnE#_c@|NUjb@h0( zT-E+})punoztUD1*9)rLFylM*tr?vAI(ja6#egpd7}Hd|SJzzGlHyIRpqA6KATn0m z-=*E3l3vC;?QD>0nXTvE!RA?N|F)`0DDCvNa%5Qu!xgaMLa;$E0Ic!3-+1WLUatBs zuR8TxTp&QAFdEeXJOq&nBYE6xS}S6I=&!z5@qriVh>Ty(m3*MVm{pODtPT^d(C;qQ z4v^r>!DYt(%koZ+ckUyBR(qNBN}|jn4O}Th)X;r} zS8|D#lu7`p;l5%|!uu5sxH3*q%sDQdJsZZet15xx!v_vIuJ@o-oe~gGHjY&C=X5dU z9Y1Y>ECL$sJ2kwwqJAG<{VHDXBE86p*K2Rzm{TVZdtQI8a7OV& zFxvkP&xIXbYf!1&H40S8JxBigIkvc>o)bjVDwX?+|91VxO66Xu+zWu(X{!?4>z;h% zqYE9C-1DP_Eh_3+QBT>uTwJ_!wzBbU{E?4JaIXaSN^lnmeDtBC65K1nJ^R58lnN!J zLJ5hTNwhz=>&(Z*ovQ@*N^q|P_eyXV30z|2pu+d8@I4cW1_?#a?OLB&o@ZWaOT~Lu zyl2IGR=nrM(Xr@R6*tBz^? z=tD;(xL1OEh3`4`1kcK(W@S=S4!#e3d6{|m*fBlE96SMi?z zV|dS4ml5-Vseok~X3j9~$XXF!Y=+M@jRSTp<+PZA)YO2Pv29k~)NV@}2NDUd(}>^X<8!ZiLkZvJaIh_R$Z`2ptj=%5GU2%? zBe@`8SO?smDkG7!<7Ujr<_$aLdi}t-5L!-=X~(&p#4BEQY)G*X#u$)NAE z{<`Wwu`1bzv(}dkE&Wx(@3mCr;+!=QH{(XmxZd;|Q{%B1H`nGj zg6=ZMh{R)!;jEFVs<*v(t+&fe1pN=^oK2lMBiMXs>!Lq0f&rr?U>FuJJd>!(_%mUy zVkG(4!ar<%?f= z?o;~1`ZMa^K|eoJy&->tr>fpObr)RPt1?>59QVLmj-O>@d`85nGJ@eNQwA3`f_%=~ z`fq*lWX|)vpcOD9HmArtybU@|H1=pB5k2P2O(t8y$z*tnl?vo?y*|^591L9(+Ge}q zDX-hvA0-mDU3biRRK6_i=R$HZ&$J9{%8JnBtm(Vv^>|b5iklNRC*rGPGrE#--h#=L zmEwB6w#Nl6$Bj7VvDjcNk(%m+f9C8;by$z)7HrQgXzmMIDeIlLwyBr#k-YoHXFoCK zIt96vf2{BbN*`)Ld5ZrD%Re0cBx2~gJb<;0S0%n@noj7Dn+R5!Q?ve-@Lh>Gk28gi z)%rt*70=z|>+zu+wpr!5z5aN0*q4f%UAbUqtSx|+8yjN2oMCKqf|C|3jk}Y>;do#} zZmt{ljH$_hTT>Me{;RNKc)5FA$Im%boeQqcP-k<;P8Gp3u z9xLpI14beaq>t=lnW)W9uB!iCYv-L4Tlb-&QSU^P!&`oh7+o z_@I+Y#_g6}alX}vd)#2obkOnI`YTQ2j;Sa8Mwj`$BiSmm|EA_t#LHG0r$!oL!C1)g zIYyn|vJ;U*vD}te+z2KDvr|FzE)(}By_{pjj17)Iw}hV?Zi%gnnStP=i43;V;urT7 z$JG4QzEEz7+hWB7v3M@A*=}y}$CLc@hduEwk>$BueSjBY%nm2xk*W=`xBhUI5v=(% zuBD~Qhg#2c*&lfymMdCAjR&HI!wb5h zfEDL)R`ZH2E9m-+c*JLkXj=G4ZhMD!Fr2x<$Ynx-OxE{s___U6UTgMX*zj7C%iTL{ zZpia8Avf;&V!7%-W}O*OALF3~V{!jYK5t1b<6DvnPBL2Zc)no3vpmBxb0$~MnX@g= zs%{Q%2?qmxUX@dSFcxtJOwXMiGj8(bdK<&llQLB~!!wOYcEHX>cAA!3yWPtD@{6DG z;!|JGg|6nY`Ry4#o|Konqd%AH^G$8GY+k|CyKH_$OCYx)oJ!6OTk#It=d}iUeZfgL zbFwlL^=0^l1ddpE1utjKw)#AKTe#ZaXNQ_yEArD+m18yMT+=l=eBQ=*yw4Xg@A6FZ zV5G`$oxXV9cKXd+Pw3sf+tK=ipZ$|mZfff4SJPFT7aqvW4F|2|_0`-BXK$LZcwfk8 z-Qk%wCXs7(eMfyfRWH|)IAksGnK^H^)#3Q8KA&wR4ddpf>Pda^^;YuHWKC7CFVbjj zi9c*vrfqtWY-oLWF!aMKBC*gB=k8p_%lmG+HMcms*R?}|$FtFcR&UmB3O^ifc{Jv@ zH+?m`wg2jjKe8g{1p_fBV#fm!|7^>gZ5nu@keLmIvhk4Ugpsik2=~PE;eV*4V+Flr zAYqstJ~Q6yA)A0_;N>C*afsZ1H74L1k>+qbYi2@8D`4#CH0!-8%dinpZ7e_h@18S) zd9H2eT5AGW0s4HQNJhyzXLq^qK`N<4(v->-JCz-95kWC@B5112+~p!tk*}PbV}Xbg(-+6O z&uEt$@r$_+5H^QWi#z2E!2-=FXM{@&zO<7Nc}k&2?(LLN9-;~i#T&D|ikPGC;P z8Uaq_=MH3r>MkaEs&Ti@Y{P!^3e&w*cSq!$MeR)MVre%j;@~G86)*cm!;kV7=px=J zD(yiFome?0X}MWDY9_R-)N8v=(z-=iXSGp{!z0!|6*$WU=P0dAoe5=H#jH_inb@83 z3#NAMz0c7R+AGrO2GV2ebT6vNI#$jnp3m7*OhISG#XK<2oPpzsOvKYnx*aIam^Fx6 z#A>$8gbMPk*Y;YvfWEfqemLDiV6bW17(~8P_4n&yYtg)9Ih$$W4}(%+q0R7?iwc?dY6Zl*kBg?$ zk(b6=E$?!M+@Cq-WNkOYEi&Wyx^b$}xKdw0Z8#~02+?5+=n}%O`o(;pFMPzLUm-?P z43^7ti+NcMbMZN)qL_$M&(qGbw;JxF&9uy;(Xqbo$Fs*m?=G5O_30eL9vHr&T9NT_ zPlIcwenA@=-{*t7LR6P_6p%R1n0L6%Vr;U;xfHwDD2h>xQ-U(2JTbH?#)$ALChQu?xRzY?AK#WK=A@D-G>N)k}wW=3aJG;t_@IqAaW;`xo zCrfWWH-ZM(!5KGg)wQ(J3^!BDq;i7&E@vweE~*{%K}p?{nkEEVsj0}Ep&dwz1DJ&^ z;+RsAxf!TH$Bb>!i38k|qEVB1HIA9XiuN$MoR8Xfa-T4%o{y}ipVUH(^uW8zH%&5XWTO8bTP||jFYG@CJvGC!1d)8 z;H+s>)thNH2E&~5>b3Db<)y_#<~$z6mWmz!X@wVJrkUkMv+O>zX!c`}bz>6sAN7NK z0$ZjZW?BEdDSuYk@~e$SQ%>}fR>4YNz38IaXH+n=NU(HS;YN_ZSs6zPeZ63&&DF-Z zn$}Ck;(hx2Plr>HpYM-8IkXa_Pb)j4U$uR8I^35hcZYWlm492k+~}t(8__8)`<=Fl zUa$4TnenjV<$Kfa8^^v>daQg@N7H(q!4Ec9bbh$EXlN;oEPk#Zl>fOhHYi_I!5!#V zg><5EPIb-UVU0+&mH< zH=X{_Q#E7!{HKQY9Vcq$YctV{L*4D6d?q%*@%G;R9euo=U%{rN{Yf>p9tGWGIMz%5 zVL>1BR!*p)f;D1yjxm_*9XX4gD7$f=dN;zwsM3YRcA;-%=;F#x%Ab>U2ZP)3Fnw>TLvj z6;C5Ky_0LdH~JRQ>UW|Fv*V?nyXJrD7vni%h{_2yR(OAtLdYoi#^>CbVs~yMGJAuO z%7#yd(e1e}_5A3fe`>sbB(LcBNIqJ)w5PJzv%NK+5NP`mW=HqcyqQ$CYSYM)T6@IauPFI?}styZX%q87XvsdcYy|gD# zmmbVZ^E36ra+6-2G$yW`2n%sMOAfpi1hX8Ok8Sut_j^f_o>ubbp5{t*Rl{ViR7x!0Uw+Af$Rie@?6Q-^c_ z={gm+UZ`M*R2{3CUsE_2D-vJ#ZMu>$L(^tXxkg2W_lx z4y(ML(1gp#)wDM54ruq?dugG!cc@{h(fm677JO7F(`aZLtqxj9qC<`YISglj$%T8uNlEXp5-r?`qtdAnXH&tDON$-6eK z&}=82%~w;?dgg8#6jYk|{k4s|Rrf+1S2W6Jy1lsBLk;h7CBpyG-@hfVm*;wiH10=b zb9o@X(s`+;mB;m7$2EA8j&_S{ez#G?{8pP+@?f@y(hoA3@JfD;g8;E3U=Y(ig3y!#pavFktg%h{2o zsQY+W*uuBY9!XK6fX0t*lJD9X5mK>&=omqwEYBe^HbSYk6llZ!Whn6mFB}qWW$wSIcS6V#(l|bZ)P%K%BGX~ zCF?zUAqkD6Ly3bKs)RtGEop4eiQFBNd(W2QDAR@xdTSNhEu=a9zI zy^2@G-M8IqzrTd8Jfe^ju~MTG)2Lvz#&x{~^F;}Ha1o#hMgG$^RldKp{mJ|{6DDkq@m-(i_IVf=jT*fIka&y#}s<&Fh4`4KU9xfCY!G~MXxBl@v z%CG#*7xcH=C)6unc|jj-pVYrF_1*&?c(w876SZFrKk(}OnUevE4U@-a^xon`iy=zdK>5QyrXu zDfC0Pw}7xJDTh-Ve0u-hLZC;D8|mD1Ydx0`DA}wUBgxnN81~6Uw@7?>AZvHD4hVM8 zMWD)eTQ{ipG-05m+I@m{wHj{onRIQ7e9N6&(D|}AYwt>D<{H*9GyQZTEk9^YtXu8q zsCK4~)~4JvE^nuQt@hL(-Wrr*3}jBIg{j_@_E|e}xJZuaiqvF|lbYl-A((BDkr>ld zt!4w*0feMw^qz7d*a{INIS-kzk%U;cyn3a&s!; z`Akiz;zDiZ#USnIM$emY7IZbP*~LEA(SH0pKbA1s1w(viw@E)*{yC*qC9}jW>f=#q zTLttY^A^m4v2^T612o?a0=@v$Ku|tF52oB|)E_b}<}FyjSrub}EHH6aT=tedoD(QX zeq7(F@+5H538!0F4$h`}qMx>Idoz8pl(;Gy*<%;i%eR(OV#)^jd)WgpG0Wp5KO{K; z{mkNKyXt$S*QOI0{c1|5@&1;mW7KWAR$XCm`YjzM7{vsuS1zu!LCEL;AxTFcU?f%n zK)QMAE|`^F@nx=SXv3at2ZAaP#~z#mOTCNX3QI24px1FtuzcI)bOOLiq1Tf@?PF1Vcepb5 za|wM_MNJNR>pH54suIRJHgZ5x7%{q$TN+a^7WvtGxzV3S_T z^z|lE(AAuYrQ$5P{x>cLw*3FDGIeWS*sq$ORE#L1@g* zBqk*WS<$&hUCG0(o7*kHm2;Z`UI)&0NkTD>oi;9(;mtq%@{Q>qL@WxBmpSWqNOI5J zaG_?bqO3R~s0J%^4xjVo)zIiW!X*reLgaiPM-8Vv=S%fM=IM^R>8vL$f(==yVfoJ*XW88fN>3v} z!C9DiT@Tw_Wj2)>G4o}2l3`#97#O?|&Bqf2{MiE0y_m2(*g7gLqlH-kIbz9XXcBlX z2dHcB`G*);U3JA7s*LPCN74~U5FKaOY*bQS`Q--jINfq=!XWD6lrNB3%b#y>7`eAU zDZmmDAp?nC5{$~&l)^Mf5N0+ndgrVrc^;%J5Q!Sb-?{@eiWpBkJj#Yu+f8Ul))KJB zC?_-LSxyOe=RuTI-OMWm1)8|9E+`%f#4RiKL1tXTD0hOQjSc@8$H5unmOG|F3KnX% zL&WF;ny1$u9AYNy0)flbLflAkq0RiGUFAY~PY?%;GF^)&aJfJ6Z+@&@@&HQ;HmZ(` zAh=}riD0F?@0kbgJSrt(?5#0Dn!w0_&I;K9FNspCXC@+4zD6iT7m_1=&%?7l(u}Z z#w;0*+yP!DF$PyA{6Q^(K(Bb!VH`6`!jXw?Fhw0!Hl~8S$RbnfI6qxk!PV4T~=w{}luk9vA}Wn;H+7BX@xL z?(4Y|%g+RQ`nKYB=joyTl?c0@+v%n~Ic2{VMP{*fQk%5ids=;9sjXZ3@#Htd_R-+` ze^gM9RJ>=JWA=RQ<G@_&#|wgcz(C@6nMts7SM zX8f7UL+4ow`rK90fFL~+emyu1G&$N2)qU!V<@?l3v$nKUUJ^hpH#kR{Z}HG2#nPq= z;%dsr`_`xzkD6Ger^7qL&Y85HUQ7;kR#j^}%xCSrQ9#bI94)pFneGcJxRP8`36@5c z?K4sQ-JiUr(g-dBXC3owcWxh&n~!fiJkV$@n)3i@^J63UIC(Y~1En?NOwDuTeB&61 zTldJ|sbFRw(+bp6BD3H3mKS%xxiFEb#2G=~26x4&3@4WRCHs6D>7L|3p*`9LN_)sK zO2GhAyClGxncfHv88bubGqgftWx>S1&XV4wGju?|V5gQ$bLR3!}epbj53TKhsv5Q4|E* z=(B)B{=V<{rj~E|$w{k6kC31TU+rz#0baC!BUaUydu068f1jrJh55B~@>7o|`TF>! zD@o;FuaD2%myCY6XY+fWd5;bZD00=}7ja?L;GM`Yg4Y#g0ddle8?cYaB6A9)%)ZE58B!0jrl=dU>0mxeRA?C?OsdMdf}emn5@kU&e5CM7_R_j zje}I?W6uvYd-*+cdAE4VcYubzf%pE>Pv4Sx;{~= z5M|yY>P=M{3Fdm;2aZMp8H~iHdEr=yH9j4TJdiI$CYn9I$O7R=0Jen@c~-eJRBK?C zE1HHNUH}}yKrY8z0!Rh~g~ndmvx);Qa6~+@S9R0av#oW%x)Ilh>_%L10qVNN^y8oO zg7#hN>tTsj1R%H5Hr?YHNQ04?9~e*a?mMG5On80&oj`s zcB`45uXsx%o;r=`TJ!fRyx#ofoJO%;X5>6zlujZ8ts@ zAjeh4e2no2bn3{y^~J-!GHPAAZcCQ)`f0k?%`1*YKhTi z#1q!Kk_em)*q3J5?gQ~ly=H!FU*smV?n)JyERwmA;MAW)A5WTZ>@*MX)CH;PT>_80 z1oAuI69BQ(oEekhOaG>f^Fq5`SR2N+b&IrdaxYFPuxqjH$AFk?w^iJD^j$qiCZ8uTQ9W@~z+0zmrJI+g_6~6j(u%c_9jO|j(eW_LmMvATeN_A1|2n21n z@Tgk47^VaWt2GC=Pbk=GBb4aAP^T-f1Uw+GA^SB z<%0{$5=L?1ATu=#K7y$>V<))di5oUQYPloc3OSU`%&_0Ug9!G9N4nz)$3`vvU_y)` z-#6hTIYCj7x0ZX_60~H+)X{4}u7L+>C0Wht!Li8IYD+TN6a%I5t>|YCF6e(Z^3k^!5ImzdZFm5XAw~ zccVGK`NK%xTg^EIPB{N&HwHHR;#dFne*FFyr}!ghsU04iB;CA$uN^*o{qgTs8(zf1 z{U9(asavhkCo_2!86H^uBo)dvL0^!|YgB^un+#4yDF3#@I!b5(QHz-@mJ%Jp^D8K) z&esMvfIY$qsIkMEs@OpdB~f9cTd0uMHn*73;?rr*L|H zKl6gsVDk&)h_V`6)$w?67VS5|?3g5yFQu5QmP=+;^~ttZuC{$h1gsX{<{B^@^2hSI zkVHNmEO7D~)ojc|4;br9xIH=Tr@kuKWvDC-h!*?it#fZst>pzeeCE_2lfe|M<7>xG z*q}S1qH2MDp}u6aNQ)qyz`K0-M@um@BGLik<02QqR?L{lCFf+WRnc#<6s6qT$wxYV zL3JX&J?0|F4=7T@E|*BgBz$bYScAW zAplyJB@&buol#d{u?QFnPZnk~#T8DoqRIT0-C2+ZvW{h;W;u$0oT%wg)M>j8OV%Bv zvqylU)guIwS8xlj;`A4e}`3V1p_t^$3}=CAV&Fkc8BV+p`UA zMw^Y6-vhQN6;DGV&^<((b6hqVGIe1?APW^Nn1FRtGY&hejdREYiv+Pf29f~)Q+l^r z1={IAfimh#Z|t7pvv5z;4GL2_7Vd$Q?Z$XS3&uD&h|-w5&L8qEkpYnWg`lsMfxLmm zBNYMbQ?#N7MhBIE1Rpw1*2s(dbO9d5ihJcKDKmTpvQDQonVMxkU1xHvMM4cb1LksV z@?WeDsZ#!6vhA31AtC|oxF%1Wbt1_XHv{4;2aVcMV{KBtT*+#W2e8we{%j+w2!u7= zSLMd5*6p}IAN5(uBha_NZDp`v@@aB6pgVlnacwhwHpNYq8{6Ss`?0>Pml$XS2*%Wp zLuXitcdY{dUWm}#)8nP$$-iE5N5<7dzN$Sqp@)4>jRfFc#@|EM>~!?UBK(73gUf!w zg0GYw4;!zviORzK=cB7!r=n2#APe$>HK#*IZy%x)h7`Rz!H}rnkiA_P4G;r9gQm+bS3RR!E5>;zOqRlc~4DQ(;X>maSNWOr&mIb#e7fjX53X*B2 zRd1no7qpuI#13a02hLA_lQAqDE8bP!&%iU@crL<4F6k@4S+s9SP$ZKT&_y$Q81n~| z5R_F|2mnX88d}Uc)IOl{hEtj$dgH>XRl7VnP1Z8NY^{*4jl=a@u{TjFR*+tHApNc9 zGVod`&PBij6nzKT0aL;=GiiXL9Q#P9=B6Vn3YN^=%&%t&FZ3B{&1>;jbpl_! zX4YSTjst+Ys(S~)5&Q)7lXwESi+*M`&tXxZrE3j~v}-l5ln1Z0X?=@3*LJ$-Cdxo8 z0&3QNT1~~Vhq6L?V?GY1Br=b|RXgq^7dGFn;9SH#0XYO-f?kS=k{dyhNF*_lcqk`H z;8^v;Xg$c{NKdHJBt%m%*HBcAX=Zr<^|(64O= zr-L*z&)MV{uFP!3SYn?mwHU}3Xe7a;WvVuxT0z7TbPDAQ2Sg{D!wLZsW#m^AaLqQI zlk;pa8?7^e{IQB;qVYuf+BbF&>}*cJOJA^KNL0)-$HV6Zq$Tjpc3*K>9u1-QZaW<#?x!x`7A4CFwW!(Li~!H)e0z- zQO|(cTZW%-jBYBR(DEKN?UF~kSOD#4RIyZ;8doFWYRpi^4|971&P1yi-YIvo)Qb^~ zW5wZ3Sa@5)r9K5%bgeebcol4Rq{d)osrC@60C!c6Po$X^t&v8d!XWNm#5qeS9_y~e zsI*?dWa$x6VHIJ<4mR6?0uiU3#Wtcvix3&cEB*N5I~cxF?hX7@i$OqR&?m5~be!cX zXKQdSuAVS;%KK?YOM6Js1K#2a3xf*{$kGy5ucj#Lk;Wt!mVVkQ)2wQO3z1_mIcZ#N zd)Iz1W!lt%+6yhD$5C_}-l z0fpi&)iLho?3f`|8F@AJ8;>gQnQ@jle%}A7O6Tf^_U=&6L}$vgweogliwFTvc_y@- ztJWu2f_WSuI@`yOPO5$BL$zRUvd@kuX`JGN0;ExWUOlZ=){*lcn2VG9E(D$UVj6co znYKC`L1!XOSFZ2dM--#K8fjMcj_lJwhJi}D2np?)aL%Cz0&;l>GH~n;JEIbsLbszw@-wRLq-V9w%!5~EYn^qzQE~3 zn6eU>Q;K&;?@AH$n2`2cZ9R!|?X>S!E6=O=lWC_`oLKI7;j()wF#Fyd#vBr84N$WD z7&*&qJR13elvRqOJ6IMg7X!8#(D@ER#)mf%vWIy(QwPpE|KVJY;^DzNSJfeX?ADbN zLr3!L)tRPz(2!%g1qF3+5jBGr$m>3|GF>MJ_$t2nD9c2{yI|CkYY5Bi=0gnA;4Qk@ zd#*qI@+0Aq?b4e=dn16ar1|jhxO(?QJY+u9dp5Y*8*i*NJFu~y`z$EX1%H3@$!FEN zZ^@tc2FY-;CV&=lr>LMb}`d?Je8r zlz(~%6nR?s;s0QI1PUX4J>K?FC{R{Ca|Gtnvm8+MVdHfXY%(dZ) zA5rrJ$L8+6m6P__iT}toF2un%V1vzEh#|r}KlzM*b__tI;=XtIfBw_euwFQ6?qBrD zt(T5{ItV~}g6{6%q_MD$oJwD9f&xD9WGjMBLc2#;!UYDJ|3Wl;Mgd*G{24$>OQhn5 z)*TR$CcxYMx*13C&KL_BDG;IuL463tLC&#z-(a>W3jv2Cw#Q(E=jolPS%VuRLvc4$ zGvNuPWB(fWC~y^t{961}2e9gTWArXsZ0Zj@T%x@nfIn6>x;PBEYt5H205`#C1m}x< zM;K`pV5|ZDNB00K5I!TrQI%*B%2d4Oe?jlv@RKMK+6{M+IxI0DkZy6nEi?{fK8Ws{M$1^?0)eLI1-K zOQ0|u2}Q;_0EL*&h3GuKYYTh|BxzF^d;MeK(>Fv5KM)`-M)=aPkzfPXUCcGXocIJQ zm>?l%&1Y@$1WU%R0T)7sipNf<2lLdLwcD`k5bXt^g8t|U-sbRkFbeno-Y#&6(wnFh zWVKDeQsGw#%IOyeP#}OB0tJODDhDdjTwGFWLI!v#qR$r+M0Q1*1t>#%b_bU}@_BHp zunaEw4MbF$->!pE326=Z4}7fpx^V%wdZ0(m?Re~W;aQHguU>ZP_gcizgOh?wI`=T@ zvGC4b?m=S%g#I>hOZECMoqi=GzftU#!4Hi@JZn6cJPppkSGiAYe4kvryR_@Z5^TY6Rs`|A`jMra+TH)N-+T<{0!c!exkb zvo+_Xqs4{(F)y2si4X{+t8O?+b;y9&=2ZKLA|2zq9T%+5nLrjT^0Cn;T$4J8&>FI< zltx7#{Rg+gOZ%Qq?q^X(aI+sIroAojb?I$^uP@&OzJ3_4s_mR)hf{Ww4t5ju`rf5< zfLHxF>UEQv_SR{B^}j%p`zJrxmM`BR$-TvIyK$0F{}4&;Eq>b$9=HRYedvdvvlkjW zNFE^K^_7{b1l0tgiIW8oQ6!=nVHKXCi=H?I9Jm93|VDEHTjI;xrxg5GAF zH7DVP#f-7|nHyjc#<9XQl>(yUOkZPRm}Zo!_wseG-yt5jTzoQ={?rj<84 z-^^;@njy4e5`ldbHUM)mlcdP5hCmWg%;ui6YkiA&S|BKG_V~ps-mTv3r=!V8v~@f+~{Plc?*&CH*)taqq)7sVY()>KFsMlEJ3h3La?kV?r14TC6@tUc0D%Dz3IIN;XF`~1 z<=DWrNS*0%Vv5zI^;`<@WK~Q7VjAd~UFBZn+tE??ga77TQT^rlW}`lz8vA;Zn@E99 zmAzq@Sb)AsVw7{pa6Z&Dfu6=xwuZJ8Waog0fdP4Kff_02EV!k0@&P^y#>Vn^@kOd< zdH_g8id%tmsvFVNL~>_XN}oO+T+7p$eh9+N;(nmV{LA5?c3f!>hj|ipPBP!rn2Emq zo_CfW4fGx$pF-pWn|3uwN|WK_NfwjahOA#aV1!v=>~R>5-pTOutkCNF*#1nuMg9%= ztY-wGARCoeCM!+~QG?7o@l*_=HR)&sm}_vL>z%)!l#a-BbuM|FyaWK^m|-^nB?~sI zZsaf?xM*D!ebb_bC_CSl5Ca89v_o(tHPaJa0{fx7r(8%U_rWD~mXoNa&W0d06O`LD zGT={ve`2R{ks0*LJD7#<{zn*qf;yOlB~Y7zM+oR+69HeWbcq=Y zT)>pVEenH+C`2?&nv%B&qcpZ0y+0cQ^SBe!Ud7wXml=w?LZCNT7(q%SlB~eTf%C{E zSK6O&0YkSi{H?`^>+ouG-~~Exr$mj7bOVVAbRl098Q8wc_8?s8m^^MJ^dOd&07Mlj z1SE(c{$IEVmUN=|PS7K|KpM}<89ljd!Xg3VFgSrUl6NuD(gVRAG$H^f)7c&O$u+Ql;F6QH9zCHG z$mFJHm4ymMO_*-zy-3R$oFAzPe8aj;itrGG=lq}lFCGX!6b3aKTrVpEhVU6jT13ql z%GqR{2j-bzxyVCoAAbd5;lzHS3~df~7RRLW@YerjZs%k+;#pr7!u%Kh- z&I2$t!41)B%esh+5sluG3Te4%9s#sw@OAAmqn3G(FNi*Ay5R&DD+z=#kvvxry(BS`qL>7W? zAzA**z5y~8ayWCEuT*hagHhA^XW!fka1;^85Bn3~N1Iu3m=!()tJ>UdPDU1@D~lz8 zS*Nq&6UyhLIuQ!HA$%{gDkkVwne`U<$Of((_}r^jpC#=|7BEvh;XlqZK!c}vJyISDMFK;d=}DnV7?ZBa!@$s>pp{c*~|mBSh3ASS2$Z5}K7 z2!(xay}+cVb-7*>deN8%DC0PFDmMc|>ylk2jmbx)X>PWT*j)enfBLT3LBqTZmYacY zLsH+9b|E0C;Y4@dYSl?&os&jTe)HF?gpTU6oV=EyUkC!QSBf z?yVq_O1;QnHV6Hp5lBhyg4Bud0?;?wC&&mDv~rNZHG=P9FG_`bJ{h(|ty*))2%`ob zx$d{{Xcb0K4_>VSDCO6_eP1RNqCaGm=Ho|L`2otLoR_{vq-NeiG=k2%EK_2r(HLpR z8r6Iba0!?Qy~S!Xid9Ahoe^dff2ap+MY>RVUAtAZW;RD8v`vr2z?GEa3+Kwo8A2rD zIv<>K6HKx6C5iUp_|Q`3jcpl0@aQ0Kp~F&#Jg7VsgDnjlFwxLQga)VtikCgeb`rFM zyVRp11|HWYw1{7%us+NnJezFXZPsEIQ!2Y0@FJ(bb~h9D(M7^A$cC+EFJUwckP`Ub zx!PcwbYHzJ^9E#-3Vu`uzz*DmxS$3c)SKZ0+*8Du;$rJvkDg`JDn>4XXeqj1?GHK9 zQ#@2ydB%UOh8&)a_j%Qg+qUpPlFdO@y!M5!XH;x>P=zr}u)aKtGJ_Do>Q^(w9aXmr z3LsK9Tppo-%XG*|uMENkJh+i_@fkLf^;c#{i>Ady3SNsHJ%#~$D0eoiN8_wGv1QjH z5CfZ2&`|h(c#EtPZ{c&z2n!P!-yO=7J^cjdEQ@az#u<#OU5o{olL0NVEi~5BcfKcJ z5Jw7?ij7+&fy|U`yX1Yu^jw$OD4(U(KFJWij1QdeKkB_rf#OZ<HmH3L^z;737-o7 z(;at0r=ni+`DBMiRp$vMh68Gtlo)>Ky~(~ecPb|bJ9*B7|G6hG3kJQah5IlI!OKwyR!Mp#9|6uV8r3$5x8;gM9 z&QtOOwAQ)y-T$=n$$!HmU-&QI%ujrC7r_$IA`xJafSG;<7ZWejn8siE?ejVD;@)@a<6sFkBq5C-e+D`Z8iLQeiaO_;h6dFJ%R$5P)FFpWJfi*^&!V98%lDNF;Zui+c z4;I=@VM&T0%#V&6ZykWA!;R#dYBc=P)b+3bT|Q2nt-}fKqU-V0IyIJfvzoge;K2O0 zFTfi(kAYkyL2qKAUM|ZtCb2Li5eAbkd6u}C8{M2TJhjzgizZJSk4c}elBSfPQxO7R z`?Y@~w2jDQu(B)`vxORvh*t@e)JRV(MM$-EnI4fCkc_i*?{tibU>V!0TOFq=u5^xy zIudh9Cv!4nni%mpD>Q>JxJStJ;@85ew=eHS?8UQ&oO8m~;zu4J2|#Yb5I|nU*hbug z*kN)c%!mwZ7upCYG5wO_C}K>y;RHD!5r+%ZOz=h~%>e1Qv{se&=oB##m#8fDQ{z7M zFwQF5+W`C`u4Z>S&P++0DhjAiPI|jBeJfSlEqAs7Ln;ByOWc)yxJ(ERO%T`$fS)2i zg716_Mc)d^rZ`$2t0o@geC~qQkKlQt+Sx=`&6M>fkhTrj?Zy2^s$TQ5vF34`sY-DW zJ!hUlVH#rw{@4O3p%<_gO>ipwzD-txQWunlSjym*I#To4wn3h>0F#hehu5GHE!i85 zv8EZ-Mbvv@VvwVGaAug1Dt^j zOM7eXoMAS^*Rrp=#|T3V#Jle`#o1D(YM@yPl* z^Cg$>4+J7l%(X9+*LvF6JQ{hY)tF9zy;2~(62cajpqZ94a1JMz6Af@AwA+Clb;L3= zGlF2mNT*s}+LBOire%_c31_MdkpNqRY+kq*UGiE9S*S*)syl2M($5?O(_=ycT4x33=xQiK>SRYSj#_`> zn|vS3T9}1$OVO9LJ69~#Vx&00Rbcs$w&nRi8yO0kMJYC|!z>~O5#5c)*J>{C1faYV zq}8CH5ht0!vS$|hVwmoH1^=PE%o~BjD^3W>DU&#nLr>73BGQ8E#>k@;P~415Fhc)w zL@6S45OdDeWxT31kI%H$9I#N6cS-blxb}x%l+o4L>PTW4G{Z!zoUzIpnv5uKiP_05 zXW^#h0mY*i+d9uNSiF$HV0uP^}G=8yg9luYeAjB;S4GN4PBKPb@=VI4T1* z0N<85MxaBRDT5uT7TQk(?UtJ2nsPLKSyAk0jC;;mA35MuHbSx$&I0Tb_pKcKwr1-iPyI>$}e%NoF^M>w>|rh#C_X1XQps zyX6UhFaVVX>n0#9Qm%&}`qO8^s!Lj=dri6oCX?kuMQw$_s3;iai8GD=%$ZzXnzGGf zoUSJO5-;AHWQD!S)WuM=u^cXG2gd>;@0vQ$@Km$$(dAtL(6hE%J9s#lX&kN*pGz!^ z>BaAu(qsjV0=y7kXi?b(c8X&;n@Js%6IaNW3?fp=TajVg2n@WF-xZeg0)xUdh)df* zCNphr-_~B9PsAs6eux!2z05Xm%j0UwTk&SDTIO(H*C)eA{_QP24SVF+t=1e9SVgw` zkmkHq`bJoxJ-iXEA%>Sbg>Ix`Gzqa-oDDDtuQVGBQ}}2j*?TGwq$DR=E0i#9tX#8^ zQ>~E3B()T#W)MKCa@a{@)`GA0FBK@fs}~2mVtxNt3cYP`5fwLkE95_)N^5VZNzjPy zFE)y!M=qQ%R^C{toWE?T(~2bX-$Xi9|5Z$)@#8YbUTP%$;86Z-a7n<6`&n!&YA~RR z-ebFs0x4Kwg>t&@`2EzZ`N=`qo~oWF<7Znbhyy$-oo)=53-@fq({3>F?#GfPzcQEK znFJ@-4=&Z-uY*e$;)#3T)4gnEPo_1tBhrs3DvN?|_21FflJFrrW-7o<0AOLsJ;5yY zN`2RW^}}9HlGg#3FyUn9o;unLtA=$U>aVUqw}8}9gww$tvWP4KkbO$}kGK<>7!L!C zM2GYmQ#hEY7uY9Zsdmq!s&O{CCvINWlVrVjCilpmKkzv8OFc5){MujpEk}b8JW!kM zWd$-{xCuQ-J1AK<@K$utJ|iuJtHacwYKTcqGOTi8m2e5@Ik@mja|I(v`90^@gg2pi zb7%)Y8SK_1VOD)J9_zSk6EprMc1BHVW@=vFoH(tv)3ISv{c$)y7vh&@npaxSg$Tmx zYoC7uY{)Dvl84Ooz__Th$%?Zn!&|O+3sD4(QJ5=m6dc)9iqa#B&UVUF2JZJ z6sR7!K#v3&h64MJHTHn1)C;9BF)piEIkXqrcVD;`6F*GSQd)Le^$)QfHtmTAR1yJo zq$dpC*H!-Pn~=_-!Q6^#8}LOc;2Oo!d@8$f=}HU^mdId6PZ>0Ug&Va@Df{v!VBCWq!ef~I~dPk zcPG|Wo>l%#!im8N>f+A;6?Qol7VZl{%7k#8dp!6vt}{kam)B{E6QsOTU;Epnb>`AX z4uYcP>j1 z8=NRMFalr7WS*m(FjC9qv00Qqp5EiVo_z%?_J-4&f}2iEjMldtJl*+c4j0yFg& zrrwu7n!H`D+W^jZ`>HUokg)+K@~bz>!g%8cFp&!bOoW2Dw>`-n1FFu4-YQW0xgCPc zZ+>|P==t=$KLGUn$y`41vA zhfgKn|Fa(>s={OxB%;~55o6tax&y?>D^QpsOdZQQ&(57l3p0K5z?**ws2E}i_(*ua z_du^I&*V0EYv<{I{ZWS9d8_*E=JD_Qvv-sW2^AkKn-yWk)DC)G;+pxGJv>I#T-!u1 zZMdVPHbfD`Tm20BM3n``&#EbQ9gZw(SuH;?djDcS3+KU6NVW~(7^M$EYf(?L4n|NE zSBKq+IM#H|5TH3z{cuY$Xj9LJaddZT**kB(u^NK%1TQs$?|tMB*eb-8NJo$iazaew z3QL6@t&Xx?fm>yI5pxp%6U$IeLsSUE+z`{UEr=EngsmmqWv=bWuCc9%DNa5Uvv-tn z1d3RXoYv8HwG-VP8WK{Mc(twIXW#yRIeCIykx01+F(hcPpYuk^8)Ykw5_??`?{hqp zD=!%BD7~Nq}1S7+b(#Y8C2By|^EhV1p*ATqJ}eI|S_7cP3mRusxu* z854{P-~|Pe@ezgare$uf~lx*8|*>K#0d_%XZZe*>B zw(Tf<9MGf!5YejYZ?UsF8B@d4?C%saz$^Nw?&h|+e`|(cx;CaGgxv}o7p6A4{%zjM#;X4Xoz7u+wmd6 zg!+u8BMX6`Q*qtDmwVut*n2Qo1P`1z~>bg%dCLYV1EQN0d`hCA!jL>fK1I&V{WDMvRh(u`JM@Jo6GT-VW0ce2M)KP01w<9CbCTc9K;hyt50nD~#w&E%k7da<+DbW#;HL9BgNyZjz z>}yYxXq~3xO#ibXIKzT2g&`%%z$-HO9H}#rPIJksyPzcp);e{rz2_@5hnZ^V5E4We z)Bu{M*^U$Os|^LF6Wz@tDsqZtMr}{dPOu^ta1k(LiUY~sm{}Yow;Y0{bX(zWXpoj2 zZ!ke_>>Rmv)=!8Nc5Yw=T4jlGk&?<2l>|{<)m)C`Qd<0s+t)!U`~Vqsp>%6h%IDkI z2t7ThNnk#Tm6G)R#_13M4Iq0bQ(%OiKt8l({Z9a>L=!8bQgRl;2)_#wAtl>w-W2Y)zBe^j&KEG@&)iH zUS?J-^80>)q$9B$HvpA!9J>Yfke%8&x8Z0&SXk&@75*Q4P*obcb&hgz9?R5~vujxa zfV(2+M9*?IRzyWPcb0HnaAc7%MuaRbdx2k=x%OlXN3rNcBSfNn$1C01EucVxkf702 zMp0DY$&A9}B$m0yU+h*9)Q&kA;h#ny!=L5ZT-8m5BcOUoc}ewkWaRk!#C-_iW1 zlkyytkw$C*d2r#_ao+dPEi7MT-XIS)m>S@WDqHB!rlt8>lt?{yA?Z7jQM0vKl0;St zWSccAoP5f}%XA)*ZV6y3!kxlLRE>yEI)v_^dipLA0J4=1V+s%XAOFvVL?(l!1EcW_NIhH4m9$^#qu&~WA+Kk=FxR^+V z{%|nFLuk$v3{42>MDm0$T-^Z0D-{G-aA32%>Gkv?KFJXYb5U2Y8Eo zx=hKZJfGotPAoL#i|HsIfOr`O_-p1e zzZWudhKVVJn_7a$^9jWi!Ti|lSa$2}i}^?yt8?&d879kkc)R`@N)h2g zM#8zc9M08_d3uC8H@(P?^V9y3*rN7kz5cX%Mi1?N{TC`P#m0Xucr~UB1Y7;JK3LEd zb{@E_`YjWIW2*%%<+{u^Hc3Z_GDC>pa2X4lFm?bPW#NHP(}ShjRIsF{-gxSNbw(;R z>L+gO+B5_2XGXI-4lu}IL-a$2fq!s+i`u4#x1d`-3<`aW8mF0KPXmYyws)GrOV0nj z8{0db2yYax?Y>#O=I=mNgR_BE?O;{{XlX*u!9BH5JtdUWHEx>T}-;Tv!MbT${W z+0X+qRcio2Qc(8#?MFwh_G*owy_nZu2sZuhg9j_oe0&F9W@I;2Wgq_aD9G7v=<8uU z^#0ak$#8P z)!OOFOXSOYgM;}CY$pX?bFXS$fayU&OTLW~Q+Y-j&Ccm%Gndzs*Q4=V!x-}OWL>q= z=Ryt0BqW>oo@e|#_@}S@7&M#Od^$4N1x9d$pFbwMB(VWQX_K0}KdL9%bILU#1I3Z5 zm&;x~|8xMq$5}cy*irgOzOerLAI`50QG!z=E3WVL>K{JXI-&MB+x3K+B-+kqIwa4; zO@KEn0MZ#3uqFq^(ePfZ%R94zi?Icb5#VcwhoYva3me0kOkO3I_u045xMFFv0>9oZT1AE+tX+;ds=|lgM=UEUaHD z!<~6Dg6Kjra%hnj?`4T*PfO1vQF9v%5WvO&8V(#dMsOfAtnq+=Q_n?afm64FoJc&? z^1^Gs{yA*aj*dz>Tk{W(6=qienotMm-UJ2_gr9>{-O;ECwS+>}Z*}ysuLN#=PTsbt z&3f|-$2h5VzOF6}ZTR|F`P(uwrFqMV;6Mp}14^~&6CGfyiD`%^Kt0evr74!nxeJa7 z^9NW6%N^hI8=?EsG9#JIUqI!E4QarlgRV$la0wXnY!0;=38;)Md$UUs1fQ=2k>&`@ zfD_3iV7H?3M-Do(2ccQ@*%E?2xy&QSP;gyJ@7EPwMfy*xZTHbD}lB zG{G+?l)Sx_OGQOUm>u5DUJP4Q{PoIkoqPyzpnW8~qDSJz0x6DL^Fb%2Ei^^AS@KGt zLTRzXHtvO&E>q`WDXXMvge~@E2c*TSe!2#ODzN%xb_K1MZ(RVkSAaOU#@xg@_Y8Op zy#k+hu4u2~o+mQ^`7IatkucCbI?d-uxKB7(PY> za$>-e*C%ACy4iZO7U8FoiJc39^E?8_vSQ09;p8~(tmy5^c$=s2t^-wwecrU{P#5Fts1w#u({Jsuu3*}63FWa)~_G!F0PUG^Mdr;N!8Xc~#Aklp!8RC>iy^Tra0 z!yY5x7tYsYw&dL~ahDfOOk@P?zci!lT5k()M8|`vnd-eQB1dN^pbHj=lY8`{o|@HKDwUA{p5lZf3l}heW22 z`?bgCV%H|6+C^4R-AoNp$!?-#nJs`Fp&2dvy}n{=sw+mfWhrP0E&-U4QBWaurram_HNMXE&ikEB z3V7z$*_4I-!+$C)J+7AEMS)Ouu11R+2Tm@|4M*->!D!Q1zzv`MsI-~{iRoTcwK2B? zo5g8DGrSRP$1~3*kv^Al z%$YcMT37c&LAed~&ArjR(H;B(&_9QMb^UjbNZQnx99qv_ZM)0*vWm>8K1YhsU^P9s zqw?;N_*C(HSZbKGBrrHx|$@*4hIccU=uR#iWpf0*_pJajNjIXDorj1%h4cqAy;z~>unXBpG>;W*}=#yc&9YxX6vt{=5 z7>m|}1vC6|qwRj_$A641dy2WuDy&mA@TP{eG_lT*#|#S!vgHpcH&J1NAzDRCGJXj` z<`0{(bzJr#H$VlXH_QeoPSkv=&jMD^j@WIvESd?9kWW#Cx}Ab>@Y7c*%^!2q45s!c zer?YdHbiQ{fj!~42<{WuZu?9nNz8t8HICQ=C>UYyd~5|~f)o^dg0XU(btZAut>(B~ z!(^TYL2|0vvYf|2qK8!`NiMisIgLC33ZPUsWfeXT$)aD3-H-lHgY`V-JRofLBsnH$ z1u!XruMJ@|^1`BW7CX&$ZulKntmulNKy)h}7@;X7Aa&t1K^Hhdfto|6FHI*>i5*MS zP$kyOQJ_`r#Ml-N{b+uxB|1UCrQQ6RgNgh`4Y-NE(2)Tr2Oj~rDTF@B2N!jzz!@x& z0o%S&L`Oviwi4sRn3xv(wlvO$b!Lp49q-uKc7o!HXPwT)|Bt=*kB;Iz^L(q?9jD`v zL{(};Nyw<*Qb}qNuxKG;nKO>Ms!PydC6)w}VKUhSNjTTZdUlLpGtS)HNmr|68ju%6 z@WgiF1z}|_p2=*C?VXded(H-7`3}i=CV+i?$n5ML<1lw}?wz|vLdH%ud*^wk^SHJbX&-?uRzR&x-T7zfB;u!v3e1PPe^&iYsOy)?k1`Qkmw#^W9 z0{IWCj7hz@@0ebEKg$wD0FF4{NakohxdY6H6id(N){vdP`Y)S-1Qj>Rn;WUl>OTb^~^wAGKUlZTd! zA!aPadLRxbZCFWPCV<_nMonW9YG#1;Pp0>pA%g5?5{1YH)pZRwq)N~Ba>)#(N)XQ~Fc`6&m>ZeqPOmRfn)Dpw$Uny?g(ReB89ae8qsyV( zHu8H)l-QAP;8J<{tugxt>C+A<8%A(LBJ`sOgD-Hafw_Ua5Cvr#`n`{Pel|-wL!6aF zp`-S*9va(Cz;;Gh!U28fOLPLb7LYJuc+5GXNkS(UNI0}5rD|?#9*VFW!^#6_+g1jq z3lZ{`4e8_*9hF|if&?>*F-cloUa6dj$H>X7NmuN~Ecd}fEG0@LY#N!NvSGKz=I5s+ zbr^2qfhA&=fbwxdi-ZD6AQ_Qj&rtM9u7l-ZA)Hy}8t~2#E1|#1iWmQjn?n0+?elI~ z(=9}zWb8`Fb>M*S;@o;{*8A-6qqZ0J%{2P6eI|>)82xS8{w!z1Wlm}sXo?27@fCd2Jjf?WV3m&z=HfIlBhyPM2S zGuG$Vf6N2QrPyi7SNzuoX1zv$T8 zS}BKgF1wSe=q-;s|5HRZ3DpKy?ol=cy0@`%db*)*NoinZ)D}^=F}=RAt~^lo{8fIU zrPC>J{$O^eGpsgFU9^WLSV@_(TXs-6)mp`JLrm(GJ;8)Wbp!D=0~!5Q>O=o}9RQA!`3C2x%U3ZyChYiW)ZDEJTJ zWKgU0ObjyB_(1ty<-2{SvM&cejS{Vu&g|H8o)u!(k<>$Vj!o@)FBkLE+F^V;KwJ(peFL1WyB094#yYiRxPzu_!8 z`#Fd64pk(Z)b?AGZET&}yX8S}0g4T6oIN_A&!eM``eyR8<{Hzz4K7=T)BzThds|J8 zg=3%0um8R$Ic46n@|_KoIDWM6@;&}T1FfS?5+WKY!VkymDUjFSRUbyMo4 zK3@MG2NizY=j1`Q1|6VuPo-htQL(&p&%nzYg9i6QY{AU%0M5rZW;iTenjfGy=Uqrkcj(y-+qJiqk$dd?K(A$iANnz&mYN9bK4%kcvo4E@@008;;5ol2pU+Az;p|Z>!jsiU-`(e%D|Nkl^3e{I1JbF^RjA1^ zFiTn$o5somFLR7vr)=|kr9zo|yILmcmC#TA!>=V8hO#QIYj}46pOH;ulmcharGe}e z1-w-z*I085sDuwbnZEzl{ytK*#*-k4o}6D7I~T|3;eEWZJY#!j$Sn22uvz1$ z8a@-q;XuTPRJ~_&76qgsI>AV9(v5nPQl$%gx6fc@$`;;P>?ped;jWcPcCY!}5wM*M zMlTTqU~F@ha}iL7bSKK!HzcONeVhFk>A!8HK^1*+MGYn7>FvNQMcBRGM<7w=f*SZs zHcKI=@)*nE=F0LRvh1Yf*_;3S64J~}T(RnBmaI-#C&qqz)Ffy4DKuK zZ2a8c13dvbzl;fF$D-^{=zRo7c)a0O8yu#0tEVL8k06an@1^>!KxUZpjFJt4WLg0X zu)85kU3*wHDaVEOCXJ1NJ;r*FEvRai+yV#xarqhNHZR&9K?H$lJ+kOpI7Z^4_Y-yvwIG)V8%JTK%R;* zj7w1|vM6V9YC;OM+bNd~aBf2_{s%b}eeNwrC%r~59fkX0L6Fc(cmAm{)n9qhLV%+d zM3kJjo0F3Irh4WmTF0BFRhwqcj3ezqhFaBqBmHo;zHn;rAY zztzc)xu&9`%LbxmNEiceP*xwOi!i$}qpZ)4QWJ)KjK%h391S^pX8;>eQxgNlHBMb0 z<)KYXMZC4!uJMR1H-PpQr4W|ygkbGB1f%ICSu)GA?synA2U*wjzxaqafqk6kR7%9W zGVc4_2On`LHGrZ^*!^|gcD^iCvtl97BiJh?DIFPo>3lao6D+~mx^(V8ONn;LIU{fF z-f!v>?SJ~hCcO*q_zRnKiT2}HK|Bz_8;hC0o3*Ald$~QcuXYW2r*Iv8$?tg7N2A18F}X% z#eIq}4x#$?DZJr_STK1ksWYHwC$j~!WT#_%XQGHK1-Em~8tVR1Qz9-mBN-sOvWk0Y zL&0b^!~6!)t(w!f#RTr$A%C0gog~dGA|N>9PhwdNYE!SV?HR(|8e(?^KDYutV3nUv zje8~qpuiTK9W0=Gv<^O(EdVJ+#tac^R7en;XYI#{j%iUmLz5ap=Jue+`yj*31G6C2 zoE9Pbp_t(_Wi!?jJ(1q_h#Cti4GmWiEph}K>_!~MOPDh6cu@p~Cs~7jUz8}BnoK6~L z06H_?GgD$Kriv7RV1`?!QzuFH3r;t3)BLGMa;CDT8%tf632Jb}0d?c+!nEL_YtjWX zqkK;()`#knptZhGLDHp=E|99wIGQGID-uwqokY=n`i)I+rJ>J;I8G(2*NCx~J)d9qmqXmWsla1_|TAA}$t^c}r#~%wR^9etilCI~s5yMij1+1p*Cot1^N{Gfr^gh-vFY`cF zn}p^-PO`r!#5920x@0R*QOL+dXBAxH%LVMk(po`grxcl?acT4IhTRMv3>K0#y?B%ErG*q=w>Gk z5>82)H33&B%bbd1zM_|2eB1;da7UO~Fu2T+FN5NVj><5(8CkBlhmfKav8*DWL>V(v zHf?YaJNu^kScXWL9Zm^~xFzF<8j?m-GE1gFFTB8{M>18>pQ$m*+z6z`%|e5oK+u{b z*rG2ZK)89Ervf^{@ekq$2!_K{l}K8Z?oe&}7AO7eCq6)*l#k|?`aaC75sgtxyQG9! z4PzGdDI4m5Nh`*%G$wO=$6fS8!Og}PaH)vj_ zJo@_|w)0CEPh1mF#uEO>PzcUwSqTFDEoTZn81wDzGYn#!DTj^%55j_kIZ6;;dH9mc zSY<>0%JawKLlEeaxr#`hw=3N>FeBX(Mj2h`{h(nuao z214^vlMvdXs^rpehnQU91w)vn0SeKGb_(?$E5r|#-H$60JM@^pJ(NX zE_o3o(p0410(|lirbVLVFIcwv9>)vF$Vw(8Wg2aA=xb8{&%>`5(WP}eqIkOQ{K^^U z+o#fdXY4bl%sNio-8;DIz7` z=YR|DQWslGxI>6ffLeykc3l$Zgf3?>DVs!{5BuQV5J@$&XBoY5QhX9ltx{Q(dfjRD z=HIXuSr?veAWRq!UOhIG-lOUcIejzE(=&F#=Zub*&$|B0&iFcKVy1!5;+^)xb}_kU zXiOm!A*H9jm5!SS;ule^EDh1afls%hq=f9?oM&9euPKR^GFtROW zqC^TH>t{A?RSuE|@@?`}oX-&7)@}PEw#rY-20YGd14)VjHD2yL#y+B#8e>9cIZF=r zs{nB~`a`6$k&*CczHgrityt%s$>uD(D%}qvfe{a-m6}S0YX%j5BBp z?E^wU7)Ns23u^v9{kzxwfs1NSbl}1O2`v(`&pFOxU-hoo05V%ngPaW<-!t1gEy!7g zl$sw^{J#p03s`enKnU3jcVLF|)!1<+-~9EjdJFoSl7qIw-WZbJHcmgMttZWj)TO>Z zIX0J0_bvf_YwV>`4WBrR32uIM`;xYr|H&8?ftQB6n} zSGO;+RqUws$Tq3^4#J^ptH5yvQLx5P9b*esc~nCeLxL7sB}f@zi8~04UQmWJ~=$>o&}Wb>*VaW zmy)ti^r)Q0M%EeGfkRe;tRlnt(Tr&T1VzFX(3=uTI`0Xo0}?yhys4VubJX=SP+Lx3 z9y2ols=5p|y_8De^V#f=e1R{wcIJ1-buKx4dN*o+v6u6ccECgsDgPNcTM-2-Ajs>{ zoRbcXC`8sgDrAca0^u-?*I`R#%JA8gD6jo6nNKz-KPg#Gy~&cajlu{skzAMoq+r7t z1)AiSxu)(j_{h20fvvCq$B%|a-NV%S2MYl4JI&Uj9pv{>Pu`0u%?^SK#6c;2B8dYt zCeV&f#GL3|XYXZ>tJCZFy)jA@UV(H>Ze}hG0cCQ*aP;)U5?n-L8H^zOC?6ynYLkp5 z%N3%%fZb;JPgm_n^_ElUcNVTBqJr--vyYbLeWXSHz2^56iDBGX>gOm$M?j4A`x+kn9Bq_I} znBdbHQbRT>;|!Fa6@6>ur&K;2NB-f!7F$SC8@xO+BU>Gg+I46JE^spBrFlpK1K`{a zj*xJT%={bwWqSqxgFZ?5tvI6CRWep=z?3}!28H9r5z?cSPZ%3tCL$AC&-->hav7~u zYtPKYP=xUVuxJ7p2ovAXb+d5EpjUqG^zxfHV(`CL=;^v+0sl#mwBUxb1%u7=@n2<9 zb+5LPQZYm}hnerHGgu}B(kVpdw?R9Hq&QK?&N3F|IE}nmcfLsCpLhhqKMr79#d)xrLQ8REXWLO2K(&zV6RiwMT||;6YX& z@4i_4TmMJeX4U0;_f5sClK9WpKEC;blaH{cjRdYJQt@o84|HdJv^PPWEV4=-wc~?M z8yY6AV|k0TfRxaSfOW59&G$I4#or#pPJadzE(NDPR zWKV+O8h9{3a+OS?;ap7oo;|9Ls_hY3A7Hqp#L|W3J$V?n-ExYzL4!l$62^G!j%P+{ zJO6b5{gYBrf;%HK@>@fy7$dVoV+IeM-J#SDu7=qNL)IvmbdIfshEpyP`yd=iBNO@4 zDsxPsj{MK>mNN(Ay+}tK#v*ZMPwh6_!$9sHI0dMOEMiC%s6DR+9 z>=?y5(aQ{TOc;!uId$|1AD!Fb`TF6#sx(w!>~@wO%Xr9PxHnxk7`oG)Cwb)-2zKdr zM-3RMo$V($?K=pTRY;6q8XC&@!zz?*P@DYN^x4#r1SboYKy=0?<$lRiIRME|%sT#RD>P;Sx8hK=8yjv>&y14T++_Gf-brFFLSo(m4|0I2Jl;48 z(>tY)D+uS6NoQZ0Dlq=;LV3oE-HdBq`obTqu|H|k19wQpYPQbqmQw>0+x?3*jSrK7 zJn(QY`EZn{RgwQlWUiqy3c+(s%stEY(#T4lOsm3>FKp-(lnI(vLmfGjpc(ha1GS3i zpF~57f?uJVOWSV9{LA%)L+S7iqFiF9DHV?=Ne&1%m4Pi+c$ZeLq@tTo5{01%PDrk# zEmLAkLzN`>XUHWJbcryW9!RX~0!0{1h2FJ=9n)}&p{XNgf+>29PmxdycKbch7D;tALZbu8iXOLH5Lr@O6Ee|kR6-}lk zAky1G6Gq1JA{b9+`-hpeX(>x=xUrwHI3tgc?_TxLUBu6E4NxG=Mmsn?ac`@`K>(>@_pWyoeBuC+g7TfMY3I&3O|}ddmv|2DgA?4A z|CHnuCVeC1NY^++s7ZhGf>Ci-d1T-lJu zW8(9FD;ukwGB^b8f$hy0Q#IY96C7hoF-SjVOEpq5>rco?)=(OV21)TkKNnyuxiW(o z$#X%($wq1N2D40FSYLu%APDCr07wiYzaz*(hTl>6AY9W@ycK-Ah7&*OQ$$)HB!o%F z$wKN);RD4$MYty11cpFGFnflKNT6hS+b@|VR7N^R^%;KQ7M?K^@;TVwUN=&9#ZDe! zp&04USlJx)03HN954Wp0GK`6c+B6ZcHd|_OWk?(}#=BS<5QU4&MKk(=S_}~(VOd^r zUmjYrjBXi2>69mU8fRQ41XD6T|F8S(txRe{vCA_5Y0pp@)5AWf{<23(ANf2ip>X#Z z*d!wRjQ+~4gh}{?T|%v>*{;Z~WWSx!jcrVpkZ$9sqMQ~Qqh{9QD|^ieX4J1qztgqc zFs32A5h+k%mIa9Fxd0oaWp>PD*9!beR3$JU-G_AGjnIrgWa3J`(300crdU%SGQu4k zGL+)Ca!WRbuo}*awkBiF%=${9qgdmCzQ9l@09<6LGeud1m=6R(LK=_^ z9-+faM8ad^Ae>dQrd9O=Of36+6MPxWf(uI~9SiewKVD|QizU;OwXjx-hcj%-io|Fe zVB40{4MwPH5XowO8ubN|avQ$R=0Idqj}CIsFL_fwVh|{aEYt~0rhcDMasX_w@TK&c zKTs`?+e)>tfw6Vs?3c(l+cY$^aq8uvDUvGU>1f>UZirf(FGb^r8o#}}F#*2y^gwYo zjM(G9B<`i|*Uq$q3P%vEFpeUMrPs|OEo`30J7a@k80R<%#;B8XRUm%|i*qJSf;Fj4 zxAc)e;!mUAIWjPuO}kEO*f*PoqcBKWry)Tdum{f_>HV-*;vnHHTiY`OkL=jvtAdr@ zdMZ@knPDG)L(KyUta)m@v(YD&k8K25)(am`zeuJSaSB;)AVGF1$IPEhqm)rlBH`2= zn;{0nG&*F?qCl8678l0Y05omeSsLjqj0E@EA-3q4@}2$ItwN_C;hqH98RuknHp~o{ zv)TB~e|t;cHut0h&;X8gK0D9~ghgK0AwY!(?k^8bji(RWd#1h(t^yA9M;b)AL+$6_ zyq1PHi1izN@T}98lrkEp02JY!E(0ZjeL99=h5)GKSCO~K8}jCRzp_yb8YkuCKPlVX z;FhiF+>C!@Us7dgh0S4q z0$wHWtv?yd$$9$)?U6(6GA3KJ2>5<|2OB4A!2&L*T*$^F3CB+yHY+T5XdJ3Hwf<1r zr^=7-uRqply>3le&wB0!>bSq-c^@BvKK#FaS4>H+>GflPS7hRG^*E5xpM2X;;Dar; zox1-IEj#ksl?8kS!7GA;+(sGmV=dkQ70(<`ky&)FMOtprW7rbE@3&@ro9&Ij5xq%6 zP%7h6It{ErovHq?L;YICvw5x~(ZLTs=w@RSlrlLI7$e(;es0o4Sb;uly*h%2a+(@RC| zPcoS1KA)ZjX>aTn24=Q;kx6B<=h)gx_LkJ#UU?Y{S3YKOG@IS$&F^`^C`*|pp(*OS z2s2S-D5{AsM5OFMPX(6mghF<_5sD@xDT2kOFi|)r@md4ACzKU&DY?a4bPM%^vh0nI zxxAd=sGDPM=y!Jt48)>1n+Ta=GPG6(l6KbIPt;?$B>wy;Bq{trB;1#Xz@R4lz>WOR zxKuy`4`GgvDL}vR2KR(R&sNx=S#^y9vJ#fOlp^73oU|*X+hNBbT@jMiB*7eI2ch1) zE4xnx_%nL(kKjgE<~hRlh7HGR(biHJ-RO+D3ZN=7QB9fh;B0CBiKSSdaVk%Ze`W)A zhony&CS#A1B6Xh1a#wzZGtsZ_z=X1@IV}<&^O?-5X#6DN_~3xjGLgNL3ur8 zqN0qAzR_7Q4gaX>nthaXwoCsk+aD{oeLDDz1{$q6-b_O?UlcSQIh&tTOg^m-|b@MGA*h$;q=0hSa$4O=aE6o3q+!8Fd(8z(l-XhOkgSvN1=8h>11 zDUi)R@Uc`jpL!^}t+4Q3r$YOs2)~_v0#45WO|}zt^5xM*fB`yaG_8QzLDDR5YolW#dws7 zkRRhn10W0q!hYqJ%f(~(sztfRKc9WSMY-54`OYK%Lp4Gr-j!1AEZDqwkl(a--|>$e za`Ntg%Qkp-4W}(WWK+Y($Zy!@9&D&P&!I;{(JJ$|ZF{wSux<>#aEcW$j(9IiRwV_N zA?&*7XrU^^A(g^7`ZHc~y)4OdC8pf0d9^6%Bx8YdIvd5&L?;JSBa>15&~Gq#d3ahs z@`%aRM?QJ=mHt1YKMJpV@0t%5-@o)HU-lYE0I1t%_8*Jw{%N8scPHt7l+kHstNuPe z)fSbaNn++PI_AeVTh7v9Uo_;85pzGvhQ>*-7jaY>Umd<{6tZJVMN~P&`(SinIO4aK zqFPV6W;Q$uTw~ZkGLA78nZ#RmOxjj48M>`~S$DqS4~=}5T|My>t26mq1aeJ_98Pb@?eUYCALJXV}6dQvgFOVIz85{p_?lz-_vu8mg zxC~)uW=rNOS=>KGQ#7cWeIjtEyIrm@u$%2>3lq(}7JQlT5DH5t{EUxY#<~+jWUQ`6 zpz?uY%z@Sv-z~Dyl*&%j1uBMLd*?%tARi=*AS6cg2*om%9V9(Js0oss?`^E2H6C=- zqcn+sYgjaV!jfdhr08Nz`f%D8-VJMY_7vlDd_oAHFPK!y&|M>1HS)(B7|lCx{~d)W zUC(GK`w3d~6&*~R`l}qPN1yRxGG1kMtsMJLicJPTEby+istp?Hgqz+b*$e0SqVJwKlS^kjPY;blVZ)NCr{ts#kC zn&SP8*{qondEKt^U^NJ!e1t6OC;nhXO$d^QV6ulS^h=-y_*#;0fhQH`7Y_G|1VVcr z9={5P;|35T!4rEtAu{P#@Cm&wQ+*4ygUcf{lB>7`ro=BMW{reNxoKSz6bh(5l>Be5 zGRDpC*MFcU;UjfWoCiaqHK_{*Ne|Kk-mx)(u2=;V(ZVyxT1Uy z!J$#mVyr3zMmYP6h-Vz-;bAb)lyPZ1&!o&3Akpsp^=}P-=eR8 zv*bta1QTE#^i4UJ9W*SXn`AiTIdAt70L`ZIwdyBVaXrCJp)rVC%nFfK491ziOL;!b z@AU?D+71#bPfD24@6zYGG8ud=4zvqQ5$swe(B?v(knMI~$$hUtRSE25dO~Pm$JquO8YqzOYY7%~L_7CB=a82DK zLqn(x`@tET+6^=5X5B0CX6Oquq&r07vgJilBwyvN8M4Mfi`hr)Z;a}~zGPIhtr(HA z=DwJii7ss!k@{!h{hSYaeBAI;bV|DZplD&zg@Yzk}FC4T+Ot)I5Dy`OfCpmb6BwtIn{rR{P?o+oe?XNDiXR+3L-3ywjOh8_0Cg5?0aBqfugPhbLLc0AP| zh9EVw#^2sbq)Q^j7gYZa#V_RQm9ttPA3^;pOCo!DC4ajBF3Rvwz+_=XbgP{i>-A>r zezx2xQ;K(o6rUO*uY*~Y-a!-?P|q~a#N8UPF{IQsw9{EpfIImZT*}uOVv8N@O|pFA zjyuUSPu$AuetIY*W3Su}D5iG_%1$2LDH9*#{v<{duE-2{s68Ln{DR!C_tULl>yqh% zc5$lI;%xyW2>B6LUWn2V8kK}Zgzyxwr!@Q$v0GVlIBux+oU6A>Gt(h{s^yJoNLp7jQXtKE?N7eR>p4EGek z3d{{9Y|H9sF9?>TH2F9VQiv{;zOXtXC8x%hT>8O%04K~%ZzyU}9!x$RLYy$UHa6Di z<@Q3O-k9676VlWiLpmY$0UE$Bj93&EeJDXF`oc#BG^Qy*h3E|bYZ`2=$oni#hEvfj9I%m2$+%ZhHnA7ON(m;sC8RHgm^qgToNK!2T0kH;+N*W7%nDMLU z+a*tmdPp*R5+F(P3-(5~rwK$LUaxQgz=3O)1c|_tIe!{o5KmGLA^gu>0xi&N3t&vU zjItdAWUrb5UVu!vpeVc{MS;-ZX=Nj#WaUJhdBFBMMI3F!Ew_TDF&T!`gUXofn~O{V zPQ|>*eM`I(7j9L8D{G9vA|397QnuGg( zWjc>|Cs;i!uF>x?5iC#29|S%8FOVPs92g!OE=cjg>iXgaRmDuM<^k0ORLH;uOod#} znP3s+4*V#N3hX{643P;p`kf}Y=H?%Z;m3Pl;7->(F5UCLVyh{NiX%a7H9oPugI0+p zZCpaJ5)()c5LrS{*vRT7YpoIS7 zfr@I%;_ju6rb*E0lI<6~B?^HLVi3h-{+*#_l!1&WGD2bV8k>N{BK#H&6w#>&S5(eu zG8mPuaP0VmE5$LFQOVo9!C(cs;mwH83_mhzRRZx~NId=qcoNq?fu>*5%gwAvj!aVd z8COzsW zaZZ1EY2u5CP=&?+nMG>+rX2=jI#g5zPz}*Nk>zDe$^57fAuTUF$sU)PgC6k?c~0m| zw^FG|d0UId(o}8dqYA2RWoKn&6?|^@%zqfxN`-2LvSlUGKJI37u5xs2@$G5-p`}4 zVFA9wkPP;Qa3v2~#yLl{^drjn$xX$WFUCvbq(!Kgf@?^|Nq<9s&QwPcF_YYevq};o z9kdBs2@lZ`X$NC0f9QvF*EIl5yll4JWF$pGOdjkZOpvi{my>X)bfUxm5O1q0-<1MV zDo$2gQEr}lX#Nzj&3ahX5H_z#@GZqV{HGtnxgjZ|WdKh!3@m|zLl@;+54|I8oD9&Q zM58MceVhjlTDR4WKwhk)+{w%Ebx{$eL~m-1sv%ko#*=s);ISKeQ{#%gpKO7T6QzW) z%_uUWe6mEN^dyrYt&o}b&7(m%A3KQx-bmuu*Pj0f_sn=M;bY!S6v~OEslZqj7OB`w zrUXGUk|d+oLrAmY&Qw9bn&c<_-V|F{@oFucxcZUMO@6O^_?p($cmDlm{;x?!5-jsz#H48Im%Pn%!?Ou} zxzcaO@|>Zc@(AQ(U%wRcFKQDUhkt04t$7(=1*vG#&9s<#H(5$pYvN4O@E99#K@^23 z20%40$Sd1)3#p(PW2HZ%8!nW0leAc1bCV~Q1QUUvv?sXA3oMcs*GONYObTS|&0iO& zf?(5(YSf@|0f7UrnOhc8_Yng#I=M=JYUf;94&9`&CcYHY1fLnc%>r-Aa;@Q#+3SYK zJh|_EMO;AnGy|8^C>vAN?*(39lsfrlXsiy3kz}*mZ~ica4=uj2q3Af)FCgEL1f^2C zoE(RSc%%8$(kYjgyifTeNw>n&i=TvXY^`)nK3dBvoSV(JCWAmeq{s3#tOi(nP%0;k zM-VLDDp!o~b1#Vl&CLlmYIZ8xHoOfdhDo|#6aT9ivBA-06vRsqv&lZ@Vurm9&jlge zSokRmAfX)D^^PoeUcKZE?}fq!(=zVM{`7$q zqC+jNV)F9~AC+npCk1~?XJz`@g~s$oCJEJB^zdBtyedej=T-BUTn{4{CAr*_>6W(- z0$dv(+Yrd^NKADz}tKWvH{<;400qKIU=cQfiL@Gk) zp{Z9RR1M2ACWd(RU1UYSivx1)#raMe%X>5>qP%!!+h`1%FR ztRGqx^Qu_=^LkC9acG*TKC1ddo@+4A=rqI10_^9@AHexSva+;N@?a@xo1->l6LX)L zuC>{Bct+$=?vdx~5t=Zcv}#nK5;f;s5Bnyq9n5k-a>^?*igd-QJ*BdS@-__fMunpT z{5!6Ah!m)%&xjRGg)oIYh^PTHp-Tw@>IHCar2RCyjzi5QxP6Nk6F^cQluq!FhPXs` zY4-bH|IjkGqwcVBy$#_Pyp7@NAb2P)cE^8K>FbUUvJ-Q^6-Vh6D!a^DUsl!$_i*9r z7d{m~_<(m`A4}!Qjg`3Y@Wko3klGtr-y@x}c)==mCkTpBs*=xA@ULx8&XQP7mI}<3aMG{xE{R5xcwHHOMe}cB31x9OibR zQd0P^*MHdh-Zy30%w|ajQO3`u*`aH`SYW#C{)#l4kq?u6@y+^#w_$SljN3(+?5_^v z)e|qCW`p_!`DbJS&eS&^%5^$cYxH8__Teu?!En0$*407bTfv%m^=$ld_e5VRdbu*w zIL7+ow`Np*^O!Yccdz#Exq9($|I=RF{;J#hNZ=kGuKe~Xdhy-XB>;dRh$~3cm~-lz ztjjsobm(#}*Ho*Yp3nW=vbP3yHZ8j{G}KhL_@tH(e`z}0JRN?jDF61vaV#4zABZ^Y zlcVu|W~pV??d?sq^OkC|cB#4M;f}6_Erp)Dt`tUk)-CYVwcx9Jf{xaOAn5R_Pn(19 zdR;}M=6jAJVdYWlb{hHC<#yG0N;TEatsUmN!j_J^t^~t9d~Jk3f2oOJbB8n$I~ao# z&J+VXV9i<9iuR}KkJMhUF7QXLL%lV)r6c$Du0luGtIb!MgO09=`D4Q!D;G`$tAn;x zZL2!6TitSbA9>aLrs^H1VJdguwp;dFvMp1nbYXJNy1VJ?^Xl#+RT4p`<-2}=plRvb zJKrM_ubxwXxB(jRvp?MIrBjT|94~IG9A5gB+;2`kWicuXV|2Fp?cpswU2h3#gg#wY zMn;;_^U!ROFq%Y*)X8@{B!)fg6pKHz>X((Dk^w5qd9Rf%BOQya43B(D^|)8wBX9tL zA@vyH>0V76CS1?D(-iHz`+Vg@_f)RoL~d@a-ErsKmd=i~^Ucj2o%3#UN7wv?sUG*s z!{@LG5NUPLk>$na*%RwJUOSk;U}a%F3eOQw0cYpj5Xdyqy)DbOH z_RY%F=7nN$Kh%&-mbgU+CU@4)<<#wJ=}t8OL3)}Ogk2VP6?iH@iYp_9ru4aAK@FO~ zT!O1a%iYFAtGT?k$6XjM^mq%yF#SRS@w+k{d`jf-T3T%0+%fI3dHZoVpXn5~-*0`> z`b2yEPpk{IQ?)eHJU3`}sDHT&esG8Ha_?&W;b+{oY{#l?t`K7M)Syc>m4(MIqX#3gvIi;HH0So>f6=ICM z%N&J~raFkh2(OL_ov^Su7^CJbj zR3&r#@dl;Lqq!qr`k2;FCmfg!F25T^dDF_|V*En!V(cY3_S%m3THQ|F*~u*^+avaz z1(Pg;Br?ZWMWw24g(OV%btDOb4FLEPCK@0oGd!`&`mxp7-DI7!g1KgR)Iw z1c~eV!&b!bJ$*gBuz9n{j1f&}Mwin&SJdy%t$!~2y!EuT!dgFPk9MfJS{C6tS_eB< zk92f)M{RD0w@p|j`>OlbLY8c*Ori`J)2^`(i@02%nFCkZo6bO-ow}b+-qKWdpSNyV-h9t! zhxgXtpjNAh&B#bc>+YdB7YbdcfHX0%Ji;R@pl8DcYp3(mLscGWxD12jgiCU-k$Duf zY;o^UJ=y=)Ew2_XIq&W+&!bSuZr|5V9+XqM{LFF0M)RAtH=<2%o*cp=UB&SthYy3a zrVdOFJh61ZI%4m#cIJc}LC2k9gn6p!4{Y)cT+j5vF1xW-`STTJ#w(mCeI(jhKM*z9 zW9qU}Vn%7^3N4^d5I?wX!&!LmMlK6vA%l_Z*QTM#TI)DRkeU@%lLd2hv_O=$Tu{~+v>I)i_6$z6{%+|^0_X5@#oKC*QKrf7N$>|nf99{jQA^? zce9!tQBi-A0$Ss9_107Bu^(e>b}Gybgt-eRL;MstUZ~Tx+rPokd{?x|27LHvj9sZn z4|Pi`kG)gh{%HMy+7s3Z1lsCp#>eP^<%BMaO)X3RlAisp=WVTUI5jLUrnstWo5r3~ zC+bh+p0<`-J!;ObMX~I1JKWKc(E!`i_;U#3e%5W_uW}jQiH}!~R*pIi#~l_Bv){e< zLo`>_w@~I;@&dc+bkhz&IDuKznYP-DETCJ!;{4eT&|_ zQMrgDZQi^m=FI7m`Fce9@8khQQLTKA@P7T}S`nNri;kSAsgABc9lBmUVT@@ZAUSx^ z91DTam6O=PBjwBWcup5;FN-TT*E!g6=kJbQMoX=`f=GIwv(UW9PH7LNe5x(mG9R3( zlv3q=A8LSyqWLH96#mib_kF8gVIOUcq_Dqj$I%LLa_xCY1Z^xdjy;c?dgogOaW;^z zsuI59iyL;GT!?gyCFAjmiu`Sry+c;Re0%neyN}qzwactKavjY`LXTH%B9L~1;i^Y? zt;#|F3jbgT7>;&W@VqAH>gx}T9kZ8PpU5q@P&=a{VQYJu=L2zs*5T4M*}pe7Uk=*5 z78q#J587No5$}6u@{nDcQvd09rI3f%Ids@uz4mo))?!yxaaWPzw*Z?Q2R-nFxXRXJ z+ST2an`8P301yssv$ZexW%1>%p^s<~$`2W!x8OGTVAg(jzkR?uYfZW9=4_EsbU9jQ zm?v@PT3`F6?_=F45;!4#haQON413~4{nNGUkwj7qpy%Wju=f*H@uU6%1 z^b|}J?5kvtLxHR?&ivM{s@j3lnj}ZQKL0+n&i+u?29oSO;Y1c$!;J$^d}H#luhb8~ z$ta#?CPvIt)t}Shk)}!To-P&FU4%-2tg*%EruxKywe-hN%#kFzo@U%pX=aP)cGUVp zVYtKlrDm$6?XR5F7Ag{7_k=TN)z@7pPoXcS);0)n+TN-i>`~{|&JPD|ovWYz;d%G> zg887_vFcTH^FldjadC5xMo%iUK~1Tq&n>BPAZmxcG);=uv2R-I;O?T7(aDh$k7uoS z9BbL+vO`b7ap$bLoDPuQLL9UKze=Hk)~44=gM@w_Mei#J6bQz$$=1p4Z?1LL?aZBZ z-wFcwP4i3vMS%jxOg`_N66L%+CobOH;9yT~r+vA(xvjIU ztHYZgitceCXIUl*olMZ!;jNlDUZGU=ROLzXEF0#7`<~U|gq|=7MXOQKO?$sYMPq3( z;%r6WhpZH=I1buey}DhryM;p54)7J>9_^;VJ-@=zh$*KXylDS>&QPR{*5o(Uw@x^N z*6q0_>lOFy(V(a6ZA|*rW`;LhZ}`NG4mJnm!(ykzF(J~kR@_}TlRH3QE!GN`pcA%v ztF~~@NKfb1wLRYYXmz>mjt=h^r-VoW(RGKHtsJjNbWJ{PLp1+?{gzPZDnnm2=r^lv zv_Z!QjUzJ3&sY5O&e{5N{5xB}%R;uS%WHAlM4r}(wvk8)gFd9aTY96rq-P%12|540 zNFmIA=c)E52Cb)Z9K<4_)*y6+G(<`xzz%SZn3?Ms4{IfUQgpB?Qd;3y4Lhw93K3Yo zcKwvN1H_JZzBLGk>@MtT-qI`vir9d7$@>Ll@m7~B<)cK?th71}^Y>2*QFQPn+6L>5 z^S6k{+(amSF=n#)*X`h%lYmt>P%qx_s5pOsJND(ZqvGa_^xP%tchSv(DrmqPs3Psa zeG%uJJ?xye>a7#)oz}_=wa+VoC)OsT<1Cyi6E1kW(aFK7a=EfETbZgSrtR+e z^asVshD<1Und`en>D)AKwI5I>h*|iEwnv!(r^y;^|IPYkwnPgWaL{bC=m$b2?I7PU zIEj5mvnqC*FJ$SkY3*Gb|9LVskaFjG>u#FknxqSa|JXHZXOGr6o?E` zCFlvi^((UVC+klO!`eafq2L$AW~O`hnKf@{4!p( zIT$adB*t8X^boCF<#`87^)&wR+xW*VbG2?;=beLVTb}pNxnFfhiMYQS%xgH$tCTCH z=(x3ym5qk^=RUU>R0)lbEW$E3O&EbX$Ml3#?!Zs&nYKHm|OXVHQz}@^;RH)iN&f4=Cp@Q@IC$I zszlMko|q*n&HNg>B^0_aR{lxt)3A#rdf3*s>YV#p=cs$R@M88(sL?X$RuQYMZm=&^ z+Pk;?8LJ_hQb(2xxCwzzh=;AUO*>+FRh0gl0F6Wpn-K!UD1!fTPTh~|!F$_t$PvB` z7#@S~!YL6AEK(#-H)`PO&_svU03xUMTT}@-zjs&eopx2LMwK)&C>)0tMucdDr&jNZ zU=-I-hIn;a$GpT0S0hB3<~?6;yxeUqU%Mg)B4Kx|#kJ{ZUT6;5T6^4AJCA`_ef5pe z^6072G9C^DDwie=?9ej% zDOlzlhFo|9RlKVjDqx^Sdb-4CyFOeH0)g5Z83??0rha^<`d<54tba~|AdJf}KHrr= z)C@9&r3yxduY+p%x`YZz9cflWXWaV}_45k!_yooqj~L3Rxh-~ETSw>a;d9MjE-;H_ zx2=@`Q=o~m=D!TNauw2gZKq*$=LfVvicntV8g=t#dq1I609=zDiXut?{kVSKQcHK% z;~?FR9MSuAZxu!s4R+Oj-+)g@gwTQAC76%xXIX(t)xYAL0}1P1p>EI7093^t#^OuQ zWyRoz2Bvibf*EPd=Bg>#)qOhGWIde&^%cm5_}lJT3;FMHTV7eaFr{=v8MKU^0{T3K zRC>oN#?eU-qtfM9f2e^@;Xag$=95ELg{Z0a9|^r*D57i!A|vrg9kO8r;V&lH^Y zinS|u7CvDxnA_?K0b<0fU*Z!~Zm@YwcFIu-V+hQ&{j$m~&o$Z2hptATZx0W5cvnV< z!LE!9*S>ZgpGnk>gU5V`EfS}Usm1-M|AcztCm$%R|h397G%R!sF8h>wfxg)zf7!8gQAe5#iokN)^tGj2{Eok1w_B5WQ7nW{P`%V*A z3hp`Xq)O%zhE)5qL*g-N6*G_O#Fg14jDuCu^!YN7*0l;o$RzQ0KC5QHvy?yS ztQ^grP;b;e-MK=cEQf;*$TI?YM3RJaUcJtXsfGq1M^*A5OIirJzdqBweC;Qo(go{s zr&chvZEM@Sj!yjO)x%AkWv-1hI_9e^86Zq4l&{r55N4O=?>JF)f;AE$##;M#H)*WJ zhuIA0$a+u=#=U3qvAMh3HRt3|G0RxOsK!lbnJQ5LTbk-_Ty&Fp2Wsc=QTF(-BIz6` zcd7&Sb82ifH-|_9WDR$;i1WJ+`gC;tpLIwC)V#_$a2~`ZxQ#&ywUHH=92K1V#-y|U zClU~@pX==GU_E6^TkbCR9!%Ws=40-i=Rn67f-QjcIE1T7DWLy6B6;n za@3b&ABp&Sy?KYK9ky`g;g+tqhta$6n8Zy5(KFXHAi=hvwh}8%7o7IxwxGgj z8XP-Wq*ZT?qGzCT!EJeN=&#T+=oeuWmJqysseP4H!-sIZfrafEfvhx&im|2<@p<2m z_9f6}L`0H%A_OUYyY<-F$w45!r8_~37*I7_s)|sd>cZSG(Fj3TF&-~I?l`YYP%=Z@ zWm%0oEuT<^H726#5zbLqD9C^y%9ZA88-TD{M^QCFD_O7L#NKH| zpgICMz!V6NNMHaht`x)~iL!ZDfUrn#N)!oAs*uBeV41o4_S=C$WF-=|u{%LHVV0JK zV5F@Rgfl2KHM~=HI}oAs#Mr+mqkKEO9DufUcnls0mUzliXt@W+vvC=C59c1BPu%qR2ZK17e zb@M$V9p3SY)yFVF-d{r&;244dgT?A{xxsX@J%628B(DIzRt_6<(-A;52P7bUY&^>( z=bVNiCU?$ie<~;cDWMFU4x?zy7piEMfm?2jYeWQal_f(0e~J=QdyiO$au(WJniv(+ zTA&^H1Nw+9`{gE}jBWzpx$3pcvv|BsUq6H?YQP;Mo)&$(Wmk_H9R}eXDcsfBlb1Gp^WzJy2M0l+p+j07FHxd)JvW=>_@GrR$8b(Yjuj! z4QN9wysYgD;|<>c0#8&Ldxh>?T<8qXf5Mi_!*j-QC+Fp(8ZgtgEK{z$x!42?+!6;z_pyQ?mD1P0`>JPbmQ!5O-snVq^H1!{js?P?*WgC&9(B1hSY5cw zUEO@wy8rF0`_6EAHNhgl9qjTdne-&H9&(=4YN}!W&DXSkk$`%UF7Iox;8P^p8?2oj zbkeuiANl&ZC*}#tMV6M5Dg>l-6$ytZ+H1Lf@oW*NWfzkZv5@Ul7*F@Py@$FlNdww% zgB}`Qs5Y>?m4zX#a^9osw3nm?iO@p+RXx<5&JVf;>b+n+pA)Uy%mRN;?%dkf+y$JT zE*#E#j>-D|LU0O$BuO#e^u#`bfkXSAVTJ$l*Zze#bc+^W7OLJ?5|u10;na<>YR0MB zLs)5!RCts)Ym_+Y?xzqWAUur}h{gp$d6#Ecf5VBmW^7_=F-&Y1sQ6@3(H!ckbxv`% zUAEDk!;6O+y99)hxUoR6^rt>Fevjv5tpRb|kQx`*PP2^+3pBiTuH9LlYXBj&WNDH> zX>HqGcLCv?>s-L|?^$^TvXDDf?(iTGWI7&avK1ORBqr{Sp9`dU0>@4?4HSA`%LUcU z5XLp`IAofkD^N5?z%}vCzG1U~io^*C<$TUs zIpyLwW8Xwy@g#wy4hPUR*K!a>8y{~svH7+Nz+F{wiY9Kdelm8bd;QaJ^YgjOwPLv8 zBi5Nln=c2$ZCyY7Jr}*c&2Szc>XXPO$B*1`98xsySK>(JSeXz&NnY;xak9ShB2cI*in55jgbh z5&-Cb+&R4T$Fh!MfnV1>+!P5ChJgp z{qwmgRWDJfw=g&=B-PX;hvtSHJk2x&=|ya}c1Gl>z5zd;mFS#>Qv>+$w)ntr)i&RW zlSdMsSNmz$!QE~Bb@KdzLc$YkkyQ(o*E}&Y##Dz$yvz4W%(?S zga2Z_K{8k_V9g(Y)+2W}c#p)3R*&s+qHq|A1W-qCiEq!5(kkndB30lsmj|)pZC+33 zZg<#`L^J~JQy6o?bR>A9lB(=GZdIOCP$t{+H7ZqV{6T!bDhBa>uwQ|%G-)Dgf^p{Y zX@SSVA!vg&13Vo7EJq zFZ@Gh1cbgaJgnX94$1%f6`FYr{UhinKiX9RB|qgX*MTM$eXYi@NNBvvWBq@4qEiR@@+)5LZH8%`jEPgL{%|2l#wGC;8FDo47kIzq{pf0S6f^(>d=?^<>G7 zMn+eOM>t=mbx$X&J^4)iK9R5Pjz@%TNaPbQQyfCES~r`_r`kE6M%5u>o0mifSZz1RJc?D1pN_zTWtJ_f)M|FG-fPds^OFJ96hPchsFP z1b;MNE_bvFb-Z7cS>c9*+-#~eRWF4QNU7Pixa_2fGg*zvZ#oA+lV*RoL2$?Y7JD)n z*kSQ;esi+P2GxQ(C}pHdXOYVyiKfu9^!3{X#N=!a2+0vFJZ=o&qt4h_Ygg`Z>kiZx z<3j7O_#{CmEr9e1)I3tV0Da!$A8A7?uf>e=MG{?@ly!}{yIE9NFKUNqVha=^#9#MX z^X}%$g^?e=GZwVnA<#LHF+4=pQIf=wXX@>J>_~5ze?h`eVa5|U%v$HXuLOVt5>5mW z@|9Ko_ne&bsKqXkL+3P_qerK+L3LscEL1h`H^!ebI3VKmHWI4HvwxPJ)F1w)8`b61 zQuT@^4hR?KT*3$m(3@_^)E6)mhtP+EJ3#whXo@tXAvR z&eg-q@91GA0S1!9i1XyEkyMl1cf3OUS)W0$y1)NL+(B&xg*5NiqT>ushh)#8j+u2N zYwy70O_Q=f_cKsprXA=*@E8C<5e%(&go89tJj`4(pz4zhjRo^K-b)chN=HY|1Sw0= zH(i#7e^M*35KbZC)dF#Zjwsf7uU)sWfU8Xt(if5(7uE9Qg=nqQc*1(R_7mhcLL7oo z;`7~wE$qvedh?e)xqRzovK1vQir9u+1il%bke@yB$3y_1s62U^}ysyk^xQ4aZ zl2USr)}!@H&$5o%{uE0JOIecT_Ts2$O^i%wvIvwj{2o~};sBI`P?5UHk?;8*v>&j` z!z_7pk~}H|!3|`ETfVfe%SQ!WOVP!BKzh*dd>G zMH|}V(+T@JX4a%Ya_o8%_DIlgYjfMWfI*LK7;r_GV5%Vl&favTY&_0p z($eU8oiwds4x~=Z(EDHsZK#3%jSM!;885PMP`~tN?F7yEc}ieTRAkkE(F}oic4Jg2 zTrSH8P=UO10{F+X(NWi>2sYs(Y`{{Am>f~Cy!z6+8%K zAUE&NeTwZ%tRIk?oC4k^797X!)Q+~3NF?kvC?Evx*htkPS6yCNJ-F7YR;>oWUc|AX z=%8rtBw4_<0a(V$f^0J&4!ANb0J%IZ43B*2hQulfm#|&^m6C9VV8DnyyO^?jPyB!F zy$zh4Wqt2`?>OM1SZ8J@%WM*|JCjMAMIbE15ct$)W_A{r95f-Kjpq%rp*`xU#U$E~ z*7GSln+a?%G;9DNSPX$^LywB6r^oX-Z6OPuQrja0Uk>`|QziK6qtDaI=7krnk38Ss z|GMv)+1W{UXER|#nLC@Ad+wL(<$wLJ|Mh>rRz48DT)snxrPteZ$z~}XHmAONU~_8o z(B}N+5&dMw*m_*Nr%f928xE4FOS&r`BJv$-z_VTuQQ(2OV9JNLxD5e&?CIT`d;Op; zP}`>(W%^OW$=PLj4yj@3Uc{;y@yw&PW17I5 zSHI~1gr?WUE7<}mDhOgIT*>eLrj9MC@1)i(z97Gr&M!+#tsv=nNeF8r(bU677j3Fm z?jm<1#_j0{WStXwO9i$g&+F++#OL9>y-6mu7IUKFL^Cp!D7FS3ZD`gdz6pGlP5sAk|!QK`twMeGH%ab0TdVun3~ zpNkDVUid`vK@!%jHf~G&mMDS@tc_3vu@p+X!i3)Tf#_YaJ3qj9&tol$B_WS&CT%^%BR}~~zxP^i<%`hJGPx5kIWgmyLI>OL zxbx~RIXP+ggK^j5=vGLr4U_fx#sjT}Lo8tvW4DLFze%q2=F6$wbZVl5d1Ie8{(db9 z1rrcVNX}5!7OC%x53IZ#40zxY>-VzcmWy!=RNrFee~FewH(-t zVeGB@duD9?V&u)B;h_U*7un%=3#dhL@vrt+>kyS~JVYEFUhOIi%DP%eBpK_tjtf#70R#}{IG zpVl#-QX@VLo=!32fq=q!<#ZtcKsBY8w^=`9^(ui?XzNSeX|SaUGnjD-&y z@X`1ElM9A1Wpnf}cASRzCE)`e&#Z-8F&AT{itibNV;tLjnKXtdot-_@?0)4nv7W7W z^=hm^(o2wGWcx@el*!a1e08}cv1{g$qqR^#>DtiI^;`!e0ikQz;-i}(iZ8sERba_? z7Gnw>d8f%*|2_qJ5#+oiwF5O_8?F|ZCXU|u-<`j;lieH-J!9QhIe`KUbYc(<&DNaw z=yP_R+c$3$ZaKn^bu#%0I~<8{LS(h_)Avq85u!i#l*tG;hTKemZS>F5OpoAH3xu0m zuq9|+%eKCUap!y%ceba;kkFzy=z|~0mo8SugmoKX(N=sn_5IX8#h+RHYy2w4m!1C% zQpG1=roUK1%DQE50_~|hBaZ&b?~7&_ioiFO#q$~ZZz<3YzS`M`VV9V~rENdhef91; zf6>(kV~t{&RzdmQw6VFvM-9v5=0A?FItaL3kUB4==v(QL1|K z<`X`{H2>>Iohw1bihv%ktM^`MseGk74Urb^rT5}L_@bN1k99A4`GG^rE;Mt^%LE4n zE(J*Gx9q?Bo(Fg1kIsDTd*tuW6jcepB)3spS7!kxL?{x@0HF`;zRP$D^V0F1Mh$!H zW6DIbE^C=5Z9!`3!^u?Ykh%Cni$st%_8Xv9t@uKXbG~VZ@V0=PCHDttI$fnAJ zyA5Iv$ew^$x^C5ymo^8q>cx!@bT9hl10%~Wd>Lsy<37fL{}*?ZVRR2Lip~Gp_hs8E zm+nA>Z`W)BODV^SEbz$XW*<(Qm_ zWEk?%$-ON4Eu*{}Zxbc7n2v3^j0iqLS|P?T;RFt&Mre<)$f`vZ1ok`ji=%heh^1`yY_UjUYhRc;?1~r0IIi%x@ir( z;Lt6EN}6s4rv1CYCS;f7s((3a5~QHljRg+X5GF5+K~O=`3Ih>Np>_7f z7z=TAaGJ`}3Mp*Xk&KJCd|)p~v4$BxR}CqG&VYRd8;w4t(>N^FnR#7zjlq~-20{@% z)ZHO9$fb%3EkkPAik~n12SlLmlx1)d_iKzlAN46XyCr)i(l$nUEjq7_Rd~6GwF!&n z&R&o0K`&c?$sp!dOpnACT5z~4v1coo^w|z^Z@}j$MxD1Ee(xo#F8$cXBS=wNP`ZIn z3YD{S34{GH_4Bx!boV^CeV43W^N;NOkoX|S7@KoD+Krh*2VoGS3S40k4~NnntoECd z1kd!z$I@YtOgoTYCmGb{A@J2-FsKJe)aS*!QX|PKix%)1a+G}vM=X7HJG{N|f&$In zXvbcrM#p@-l{zF1uEWb?bL#2En-`O}>=>Yc6O@r_S^5(wNAe>*?LB*yZD8fH=ZTSt z8efUttWmC5Y5RJ|P}^}w8O;?x1~(1whhm~Ow*tt4g}9CwoR?JNw^`D^ zJM|@@O))3@gnR+OeyNGx@R3_@M*_50&Aqr0Jt-2& zG2EA6KfQ&?oJLuqpZe%N`tvohx;~7i?d#JBe3Ru}bvE^BxB)Jn^a5sGD&n!sAY4)7+xQ*@%*G@(kQ(HU9^E|>p zKbknWds7!ye#|9ueh6mrGze{GHf6$$Z9h0VhETMqtMi$=A5JVzirCpOV}}g-T^F;l z)0ti0+|fjq=J)ko*md~>myihJ5=0sR@s6V%L#w3QTXyk_`3G(}@<3|Yc|MEEdMWF` z{*s6sIlXS#p8z^XKeNVRz1S~XQ5rC@nc$CvR%D8=Pt3b|>`nk8sDKMq;1KI%SyrlX z!S&Q}r7#kqrzSajpyuJ>Jmpl+0-N&{JOF!>2T+59!1E!VQXkM*PN=)W`#+AA-U zVj@v{g+MojGGuPJ0mExB&(U-=hzZPdWH87veZ?}g8UF=^g&xa8#tr?Apws2L9t^&u z5|3twwbCdgwBeA~%YQ%qPPihR6@0i8nGbZa65+%;pWRXt!$#i@Z7 zjDY-~$<4}^30IGix^v!!=mH!zFHy)~ehc13>Kur-Y{gHAPnyg~x=E8YfYpy z?_dRD5;_+uDOm9onp?E8$Ty`WAf#KK0C&va()&a-l~}MCiDHO8<~K{$#Z1j4T(#mK z<7Iu5(X4%-#zW?6ro;~tOqqnzyf0e0_2FJQn<=VEuGUC#ps4T)nhu`+)`nHe#0i+} zLm0-4Br{r1Z#&r#SMGd)0C8aD3(&q>RRj9AD*3HB6f12$AkK=tl?d&vn-b)(!4oN( znYR*VenHfPFw#P;v+yrDc!Fft*Yd0`TngcPlEgw>T;jLL4fSc%)g1&28pNPofyHOl z(l4hkIOGe5MzL&Gro+R4E7^-HTyo99H?g9b)uUnF^%P7-2QOAF?B$)A=-~RU*m+;= zeU@E<&LyjyT940`EE?GHhzwIM)KoRm;(arOS`3!@!i$z*1VM4$Wyy1U=kH2(nr9@IAEpOH28co9^T^MW zs220k_psQ8cbcI9U1KH0`?NO-M&a9di3_%T$4fzY6_9^!91=h7&q9NfK9ouAz9=TK zqhG$miN3bFnqmNj+MKR~pbc^@nL@@AS>mJOE4waEc8y2l(lS8P(_~1}a;V^_p`rK4 zWIkl1rTifl96N%jup`A|4|nxNcgC4NyRYw+OWOdJY)-gwj5+1YjD0Xp1;BjmEt#pIG{oFLb$45L>`?4$ z8GNARZeu<>%LOlaii&LI&BbA;0xHvKttR6c#!BPdH5ut(bYt{ZB4aLpwgWeQ99PAX z%28CHf^`Sj3TYK)jRSVhD11CmO0C4MyP;QmlRI}STZzh|SmzHpa|8A%SpsP@N(<@# zI@|GF-u`ej|8g?g@zv=4q^iM}e+cin7dNUWLqf2jp`ppCk+d)n4nh=?$hrXw4K0w` zdh5zX%p04H9X1U&E0T>u#MLhS)d5*1sNHbX%|(DU?hy(6;BL0}$?W+fBtj;(RHn`; zTSD9n)jy{Kv1HvOF9`w0?J-h-6iK@|_9yqp;Jjl8qirtB79t7@{IQLqHXAp7xONiC z&5(UU%mGFdM(m>;C&`8RF#dvA6c7jvSmb^+IEiy#{)HVllMVqZn}R-bHJ(?HQIRBM zkoF_r{+=H@0^cXyz??$Le2ce+i`j1^FigNQ+#BuOpX%D1Ou_YCY;v3_ z-^D(P6}`T*)<~>{kYxJ464}s-oJS5^-?98Equc5wRxDKgbAz~eX0 zf$cf_WrD~%kw9i|It3I&xl$;N-(Xdam z*@zsb^xvi1)}(ZxhAb{UA4e%j7l6VE$Uno&Wz@MPjIj+mK4jYuI}c0KQ)6k7rJd-3 zxs?>lE>I<0NR^8F&L7;pxp!r+v%oVQwV*K_A=I2L&(-r+uC}*698Hdie^7!a#i=k6 z*+anQwc*saEN6tVS*9(uGr|%^aVo>yjC5E$k~3#J*((@Fmvy88>*T4!F9JvL#*n5I zINfn;@4Q5!4M(9WkZ4U4i@MP=2x~IgjPgIKj5u2MZy$?-T>J@6M&tD~1%R559g^Rj zz3&i8B`NVVai?909)#(mpM0O=O2x?gW{Nq*CVJ~T)M4X9LR1{Y`q6t)a(}fq6+$+Y z+T90`&Z%PZ7U` zzE%H7MhTWdx4Qa%tTXlHKNYAXNk?iZV1vVB#39o;BX{k(b1a#eNC|xmhagcV94?)r zLl!Dbg3|oH=(&IjAo;kY7)yssCW4d8#>d3*U;wbJBH^^(vtOQN^EY3NhoUo~fla1v z$Iofb*FS|^5>?-}J(_60H92-`@Ali1D=$n+zA=)d#T~6L)WzxOrcAdI`EyOYk-kYg zUD%gP6z~$VjY*WI2IC{Y+DMa|bLEM<569!4vtr)LA9YBp2Ya5Qv&z^)~uop@n47J+@eg5Oimson2q@EMQ8V5%;thu#i<)7Ic${#JLKp z61CI6nRC2G8Fj`fkSvbF$@W|Dn!oWwXQ~*{GYguq@b|f6oFo{#B~8nj`K|JeH~o1b z+)RdO`inzD?n9gsN~LO}vbjqm7K3y))W$YRi;#iBE+C3|LEyZJv?o>zh83!|`iE|Q zSxPbcP|+jUN`VrV!-sw-4=P{EHpAZ>E2dn0X|WnashdZDQN|HuK`8HkNdL`g8HIc* zjoHx6Ex`!;6}p34MT7b-57sl3!;T#Vk%mZ9;q1v3MY;+?$mHM@yu96 zp*-bT)(Mn~AIq@u9( zaS8PTTP4ybM_iHN&MAI6CdOI;eZ~x#iosYZ^jDzJhz}R1BsbRjizT*i%#3D|?Zlq{ z)m}xhI7N#1YSYinwc3|ml5ig;bdS7LnZ8VFXGdzNBctt+)EnRvsK@j98U>0TU@bF2 z%@!a_KdlYBi1q|fvc4M2s09&S03>bcG3myCZAAwkf)>g0N7%a$zsTor7Q^T(C@c;P zCFYD>BToe;xk1GlmZ=tjt1fOG%dpKiAacZLhzD#{16Tr4H7!yfiGz~wkMjc7R~Ird zo*7b@0Hlizm9`kyAkhOhYBptyv670}6|7K!AxHHc={Jl&A9X=b(cIfF zv0ZdT({K?12VJI*${?RGRQuGxP17&0{EQhStG&^iqjzC@A|X#qS@w{sWUvdmXGlkH z$zEAKZ)X5E5JX`z#FnBWM$MwwG@Q|FEGS(mXnuWY+|dG48$Ifik3{K0|4TNwz=)GCdF%WyiMto7sx#vT|Y?9mow zLC!6ra|t>1njAcsK1D)eHy3vt!2FGK`kq~z`?N(1Ce)+k^05qbuCuPkhII|hRzHRf zA4ms1IDCb#^c&vs@dq0eJ|_Ivhd`(^c(hS(7n$vc_xAnh@04OgYqeI5vJ>Q-%8Lkn zM31BHZ6j%DsS~$8%n~t@Ka%LX8)bX2i-3?s(@S2qZo{7$gKmpY=-h;*M3=_TvZHK- zcpmG2u6vQ}*}g7IU~`Jmvqwhm8#C9^B2AH`;0dt_juXW;RPIuMj#@?gJl13{R$+w0GUk8r!8w3zopr`IFY| zy(v1n;@2CBt1>SJQ`Q7bX-@NOnaYN17{Lg0+w(KawPw7E(L2Zb&LO?CZWA4-YyoW1 zOtMFkO!cvS1v%9L9qR=vACbM9T;K>RqRw(?o2xYz(*RHQcV-z@YJ;C2#r(n+!(t)c z#^;EGd%(#c4Cc5HPapesC_*GL|G_R8l%C?ze|LYto}g?t0komaAg97yO)HU)i&|of zY`uXKE^zDZbsLz0EwUXlvq-ur__?_HDe2^=f!|$Y76e|q&>G|z4(6?rIAD^Mu0D|3 zg?nfVZrPrcV48X4TG2dFJPWWvxnX9XxukibFDN%k1QR@w7~UX1=49`=myz;KscMOE zMQ}3W?if6qW$dlg2icEA#gwu>)@i2AS-6%g7jf*o==SJ66RM!N-VQ4DSM+kfS3jka8vY$kF% zhf9UVn0et5DaJxeN_D?yR~92458h5?MOh{k zbYQ$4UI3KpJ`U_%yEz+ zW4F}Dw1CciamO$&6j5yhMr3i{^M8usM2`CA&MEdpiW6u+U`KlOWijU`r|shy*J3U) z_=B;l37mJinYv@&aeUZIT3!V{Cf1asJSU#1W#9?v=w~oh-GHcAI6L^AUmdd9st<6_ zxDyyV=-h*H^j*2;d&-fEfk5&Y!_aW*sd5^Xx>C<<;`2kX%-xtEPuvSA&05gx4kFm|UBewpkYD+7*yhjqZBr|Pjfj_>n;)mi?<*W^J zss*OkZZitPzDO{iSg45FZqoEZewBg+bR)gy@|QG69WzH7pvij^Oba)un!pf2O{yki zM@(hn80-H2?oM(M`|PiybPPxO{C!{>_$F>-Z}RQ$lhV`Fp3GH4xk>RpC*A<^lsMKo zTOR5r<=^-Qd{SS64RXfF!K=-g;343PqfbNBm1_%$)2Y^QQIU#h=AuNG6D3?e4NGWN zW=hAXIp&7s(E2ANy| zQgfcuL6eBVlESV-TQ{*XSPU1F%;DeEOs0^#<3@!^(P_-Em#<)0}-s#syL&_pqrX+f@&|)RK5P=0Lq0R;+&Ajo zLlV`@s_*(6rx^HTU2Zh9W0RLxe<6#vXWJLC{1Z$11JVia+4UXq!{A=jc0v=%!9Vyo z;&y@+Mbaw4$BCC$i%}54Gj15h#78+XCZ>FrV|V3S<9td7elOoE$EzW4h09h5$VfBi2!iZ zuCZGXC61D;%M|Q{^%{q*>2G5JhrRq)PIGF;Xj@)wOuo3ovu(%~Gjg01C)=xYDY~2dR?gkuxRV!$ zM8vhYnt~YUHOie#$JPBRSA=<7YSM#|I0GMcM3UZT+7pQ$yo?r`CzefDF#rUV%q=tQ zTtv(Z)H%%#uD|e5(I%E4=WKq?X(Hbg$UP>04rp0ej;Tuw>BP?|fzWm~OddX(9;!^&Kn9yz zc1~&{lCCjV16S>B%11YLr?wg*q8FM5eGBHQh`P&6)I^+ntef1aqH?~t&kD=ps^ohd zJxMaFC0G5Ya>h%!Z;PFq+xg16T4721cjJ72<{zGT@ck8R+pzIOD`$y+L7`;Uv3G=`9F+Uj^!h{*aj60c24S?fL%qc#r z_==sywma@cyjizf7FbKU$?|vU3!1h@^9=tQR1rIY3H6W@Cwx=l7O9+!fC9xo${l{d zBv=rxLh)E;+u4%{swZ93@R9d#hQkx=FzSqHxi}4&Vsiihaxpd@Bw&o~`L6D|7v}h2 z)N)Ol%eo_+CgwE+WXDsv29%7yX?FhAd zdbO4Y8C_+^K?Ifvf$GIy8(w`wgiSI_$2Tbxu#$`f80I6FF(!zYL*;pS3Ng$}XcP98 ztM;8%p)HOi1<-n$#G;l_a&jtRLoUf#(ha*e1hEqnF=IU}5BCYw=LZ)DroqY(5aEb{ zkPNXmeP3F*AeFm`S#vuLP4A5{zo=Lzxjt{rtxv!U;efNtG?}umY7Y*`*cJ&`WrE$9 zJ-@6_avJpV0ZcWSd=;=QbNHvCYLVqe%m+>a z6{Rx6@~dYpYlQK-m6FH5h4=pGUQ5{RWq$OrH$&N2J322IJWOoii`k& zwtaFH{)x%Ov?oJrfGj@zX1plO0Diy5z@PDbacbfB;FuDgdzo|p(Dzz5L%*B9b83D- z>_Ur9QnSIq44VzEl*lEPl2r4T?a}NwmxK~-2Tt2v8Te^gm9#%O0nSYD&;002C0y;R zUZx1oCSl+prY3Ni6Rgh0HGM0)S#&>VUWn#NXO;+BIme>wJ`=o%MpAZ(N45P?GRJ1? z=l0I~B>b7uA!8!TQ2(rF4$sQjp}30LA7pDL;%AndqbRf z0S^2n41#==%@UMns|W+r9slLlL$V@HB823s_Oa1LiWtW+v*oz5y-z*pfrpC%`#6|rN>KQj@zqk{ zv9-^32^Ynqxq?%FI&$a-LCxt*HlJ)Z%|ZdX?QUpc36kT|;VIp)FHKzp>&FEta zDQS|);YVz$xUJiL`{$%tXZxJ`_6C2}HOAOfW?S{LBE&`>bjymOd0cXtfHESKu#XGM zP$0OsZ_UxSLJ*BNbfHj{wz`@8>Px-AP}{RAtIW1-`c@0l!f3-5Uo0!4g#q69 zFr>R$1B`Ob7>0*!X;z62!JSj2B72NkG6Xl~#$cEa07>gsJ6nd^4@c|DBVRtlc`;Tm zEORU3ITn%J(=uvoWqX}ZkYEjyfv-AKg1zrVvEw3AxOgU!M028D-sp@jqj#TyGFViw zYz9*rvUubHTU4OY&Z1_EN(r(kF7Y0W@__N74nG5#OLinrggIss4T?@aOFT2$2W70V zuy>8IzKl8CF$xJN9k*zzVW%ISg}fYiO2~7CL|YPpBtn*{W9KgyA3|Ps2q~^npd{K% zNQq)b3TE;~9m{BeE*m;npyxbue8A~oJQYj(>1gav4(>jh^j8=&!o(_+%*RLdJZG{r zz4RCA>6jcd6&W&$;5rOPXck#?hJarY{Db?CO=%!#26DHC_ zjzO5{oJKpk^ewi&uw$r#v)KY8@r$lrjALD5bj-+OY67@KAQy1QiX~{wgX%K3k)h#r zRCu_~@Q}sD$HT|E!NYN%;}$Zy!AX}44Ow8A(`>f$)mthdR8H{o3DDefigH1-6$bam zPXNQ0agZx>5IzfA<*yi!M(AUst4!H`!$(R6GVY;2+*D*Zh)%uZl zon5ocoE`+y2jItD850MO{$Cu>m~n zltg`SH>w?Lm+WGAb~yHA`3sZCqa4KZ^m0aPb(aO|)|<{+zTBKP^%y)3%d|hIDI1Ym z3(ej0_m`7l{M1q#1_aWdHFN3O!Hh=3ucS`xrXCX@w`uDyU%iM<{~WXu$MUwS21_e^ zPt8`BlPE(SBFi?hz9@(+)AcN*Y~_yuUKoSJwhh}HHokKF6h6P$jq^VPCg2-YX$0;s?Qg2cN!a56$I z>+}RZk2rhS3F#CZQwzI|W!P!%mPvxa&Jrktc$qbCZ#PlE309K$SnB3-(u(jY`w`ov z$4bb}OxX_*ge8Ltk#Go%UJcLKP1@M`GRDEzkOx*he<(JB#>`kJl}Nbr zfj2&mCtG_AANID)D@(PHnsZkOJSgW9Z2Qzkxv{}sCFBw_?;x(a>*U}O#IHGD1ygu6 zI!hH5Zc6JaOsjU+eGG~@E`F~9EY5H$^)5k-!of$Xm7uGE?26Fk|JG_70cf4uCP~E9{*wRfE6m_pvJT>mk6# zYU(Qqozy|l-+g2EBu&Tq>E%9iVlm;aw7K=HSIQrY`^#o>65UW&GoYKI2git|M>#0} zE6jEldnIIZI<3Yq4z@(G^fo==VguH$tkGhkn&rR^M`dX>+UBtda`EWlZMgeM$66I+ zI(Nc_KsMFyYO-?-uqxx3d*0@BwOBHh`8Wx;D_rL?3qB{$4*#Fa5HiQq--nYFX^Haw z(;{a+)%T(FYJ&rC1Rq~be&Gu3Gkr?( zmN6HR6WFki4L6DhFtW}2!R~`y2bqR=FkABO$=XY(SR<}iCLXwz(kzx0DRm=UpNR(_ zJ7CVYWf9BqxW2hElkC+#hka|lM5=0GnTc2~SM}Vg=Or7M5~_&zRbmH1D$Nre>m%g& zE;a*E0PfLCESZnO(!|CadmuvApnO$kHEf>?OVLujv!JxAm5|=RPBc!|#?g`3$OtY@ z&)s|4y82xmz4Pj>JI#?a;4{dR=O|gD(!fl@f+ok0SCiG@Be9_}$v_2Dk$NDzpeD2Qtz4|6ezCzE?7>|v(+*><>BU$-vjfyw%a%CHpj3~a^YO`oJbWmLhd9lUY4|{5hmm*1pY&N4 z%99tlXMj?qcOuTyoJ#^Um570En<#r_jQQn{%FCg6nK{?%lu^D&LM)qqY`Yen=WD`@ zwg(@LN{hz}CpOu$j(#Y>EE4`n3R-ocGCAq5MW&l>7{;>^ zRf__2-M?l?cbB=$7spc`h$T>-pFnlsON?U0F@NdsGS_yJHl*5h6^+Xrd+AK$(@@jA ziv7w2fA`_syejPCG^YM4FJ?@pt6xc2Pb8yfFs!o;8YGXj_up%w|Y~iOFe-OV~@=-k%#|$Qs zg@+spLg>o=`7L<1;5m(Yp~Zi;_|J1*xO!9fITb(87Qf`jH?`FZkhx|Y1zk~drOW=q~|$(waThFknni(m5dr?&i; zTK-GQ{@LP}TKrOrUuyA7Eq#V@t^r53-`;+I+=97ir3-{;3!-Iu%=%VCpiR+f+u1gR0#y+^(izLF? zP^qx$GizeWSYrLiqgSL$g;*{<(4H8M_2&=7)49RqlCkT(NH}_aA+$GHiiCRFa@j;% zhW#!JUOEwrTod;ehP+6-(#w0fj2Df1R~8EEmS4g4A(2pFZOZG37Ye1e(OhnLS2A+8 zH<%s{<%Sc3rF45SU3zrKweNdt=JTPXS1NR-qv7GJAImL{jfT8Lez+J;d&5KdC1(`9 z!Ti3**}*(_Ra-Lp$*C%LnG~*SApUym1=nVIVi`&1D*%})xW!m38IOur~{q37QFWX+^ zvEf`>G?Gt`6hbs#Jqef8#Tfsh*|y;`)7f~c6wY}C&nt4dr_GB;ilJ0CoX&f1-&M^SqH#p@(Ar=Sv-#^JAI}d)!i8Mn@lqr)IG7kMCfT*~+32oh$58CHCFkTfe&&j|rLVdpKQNT;FBHPr zcwr&WQD%k*6AL#)7sjFol1o3H{6hXC`RfOE6+VA``iYwd2R=BsMd|Py2W&EO8v1fBWT8f5q1Nl&-t&rOO_|j;~ z+gf;ha6{3{y*rirX!MRuA-SY4-I-3!3rF726%St(O0P?&uPf!Y<@4b}*BJO5n|DX9 z6uCQ}8_X<@FOTOK1TQSaqqC5nqBzPqweXdgb9?g(GmmQF|e zL+7XFg+Qv|P^3Tn=wPn6xXrt=7}^?|A1mFT1K+~ur{eKwICBNquKQ^(7mb96V_|P$ z+g0%=awA@Led67>M8c!l!CZK>5GjOXrO3yYzQxOiv$1ej*jwE;nDhE_-gPNxP#)Bc zgd>Av87}0C*)!5!car%T*_TUikB7Xq`ObJDN~fcR=-}Wj;lW(=wm4WEO=Te_gW&`? zA4$a8cZ{^fKH5=ezp~v+$NxUxUfl7?!eD-ohMk@G@h{Jy@}vzRZ}F!i83`qGTukS< z>4CY~Gi~g-t|r+tUdny($upW!k24vv;ZnApsh0Cfk?_tRy>SEcFU_ATIhlr(EeRPG zxvDs&m*7?8iclnl6AT*-V|>%GF`1z;U6QA_avRg}DszWa=K$FlKIa$qDDS~3#K zMPl(>DCh0HC`ND6^9FPI(7TeuZOPuy+H}T?oY`3ldy#ku+_@$-kOx^kk*l8Q69q3> zxG3(bW`LrxbT&~6#RrEE6b5s*-BGwX_iqw~*hpzx?2Zg@YriUdTPbq(#L}G?#X*e0 zRGu>3)az;EB-VC4pQ%tPO)D<~`?H0RI+0BKeac7D;mYXf;vnObEySNFWkp`vv+X4= zKJG0WJDWj{_rIO1Kav+$EhLRtR#q&}61kLw)C|gIGv7*jM zAs#OBBisoFdNy2%;ao^)m$)JwW~*qPP4eru{1su^HPQ8o$`vLsI^5%jdcqM2Ez!9S z{q_;KU>7ERsC!y=G&9|e?hn2BqLFM!Wku{$#rkJySBG$$8u{g=3rV-MxRaPxU z*qZBpQg}|kv(N*pwECX^$mB0UfC{`D7QRV08~`0GbVrb>l)+uK{&;wx0yt=DfzM;# zSxI^8(t>O@XfL;u_A8x(`xNS`IJLR}eiW6+Q065QBL^-QxSh-8BH;s2pJPw< zT{Hsu)eEc~{86UrW|0r69;&ASIepSger0JxD0^l)U7$%CzuH6mQZ82*NEka5hiHYF zHHCrp$Tg+zJKl2D`joeJDKuB_QG`Fg!ImYlP@1COpVBGy=hvl@9v68!{X4?7I{l*8 zs-VQJZG06A*@fXEb(Q#a?St(D@4r8pU3bOew)3z4-Q?Qj(tI%lvFc?(c~>TRL5bB8 za++RpaqQ6;6}|6wQ%j1#Fq9uIFoEBdS@LwgJ-wteHn{F5Nw25v-SL7K)$*QAQHFO> z>CqBP4vS_F6m9JQcLuI0tlj^v!oVH*wSPAd{_D|gqwU}P_XWVdcJ!N9r4Rr1hu4Az z>E8)w*Zr<7fCjrXQ=Ad@^i3{01^fTK~IT)%Xld1+_jco&Q3cEruVDa%sIU6#3%>vm}a_#kLt-D|s52g4&#DCGkwML(~ zQGE#ab8AOw$~W8Bj&5sTOD*8lw)OP(58pJ9ZdXP0kJ8+0Syz|eHBd$ix%CXNchUfU z)xjaxF$!+?);>Xn)bs?;0mb##Onl}KX}bOxDVwse2nI4rX9uY-LHqp6o+l*t^q*CG z?KOJ%Lz;4rmU2AJ8xw!|kJ&+5pG<(oOU6<>a6umEJT=r#+j%jWOaQnm{5xEGv?PkS z?|Rx61zL4$$_*5OXym*3u(crPt$n-*1b+UL>qaS2eabSIP1tnprX|B|p0_+B>_YGj zdCc!}!|Ojx9W_P7ul=pFI=}l{U(X#H*_8P1im&H}MsCi1q<_Kk70(R5d{cfwe8n^C zU%q+h!yAgXZo4*fe`n`2fA|;Qyg%0Y%;jI_YtfG9SAIRmcS+xeMh+)RH*hjEvNQMc zO|Qv9GW*i!J)3*^kMpZtZ}`1t|GQJ|Oe_WlAcI5#xJ_&=4omo_DWiX4w)n-0VHd!# zx(CDD&h%J2$P#o_ybvyUrE4Df!Ee~J#mR3_;Vh1c!(U2YImlYME)_YG73?iPS4wGf)1V6 z7r!|Z0FpeyXQWWSC%g?O04*vS9f58MAh9*?_>EyL_k!(`@IbqSC!Q3INFzd6iPln8 zp&E>)Qx2z-k1`v^)GZWsZ{pnd010S><2Q7P5#ta;tFqK2`>K36awbr^n|!Ow*6pGo z5amC&e{_5BCN1{4;YumBXH)|j76%j@&@&(%Fl0%qY*9{-6jIS<2MC%j(KM)_PIDoq2_SKx=*`BT{)cq13qE(yhm;w5cg+? zm7qHse*59(Tts9)n0^HfXU>hOE7=OwsrYYK5};c27}t{p<2@(~f~zWZBsIDMsD0J{ z5Ei=wxT94l+8{=bz2grJqG@1Ya)~`d(}LDbEzNjLLuJ2hw9}wq!z@`d=RNd0XIgiC zunI9W+sZATQmQo$9#}W59QTB4GgI5ko^j*;Gt?-}1*o0hcTmhYfa(J2OK=$aR^cz# zDbgy?SmkowlP{f}b!E8*2X7w#TWfmxa1GQ?ozc!UzwHQR@PsS8rfk2);2HboT|3I7 z=y>^CzabcklG|OsATHG$RW(R9^ys8o#9;-xYwp6QdKqaOU%yb5R`tGns^-&|mHaa8 zCI$O7efoI~uN679WtD=0I(X5MDgfrXYcMUS(EyTfsu?)A^9>-S-$ZU10H`AS4qDo}*V#95oL@_8^fBcR-OK^WXjA0;*MV91?v3S+JtJ)EjB8h7r zM+8V8{@}OijnIdR1bKbgpE^A0D36PP!}R5^e9$#_MHkV|@dUD1ch}h_$ub<23mY#9 z0rUqE0HTv0%0*P2NZxXP<%^O-C|7?HDkSW|2ge7(tG<8cbs1y^HJ0L4gYc~J8W0t# zDiF63_6E+l_J6+BC(aT}RJxGMrhB z6M{vFzVhT*CGH{tAxHrC+wv08(-+(%*jMg&Q{@qa8_?p8D})+|Olz40188nRUvFSr zh>L3rACkECs7fi(!HK8enOzOl;fZX1tNQR%Dh$Lb!kIOqQk7K6LDMQ%O*Es7NMT#3 zfJDZBieP+Ekns7V0d*)n+y=t?@)tuuf56dF(zUOyTvlI@vR)RDy3|QV3Wg^VUi0)D zTcT7&GOQ~~y@kO%!4kuuRSxKW`CqtldGguB|N1{YVrZLkOq}~)ZyOP%Oxv7QnOO*C z+7%9(1czpHlIgFbz(hyMsOf1}SYkkHWf*W$~Udm6ic~M$#P=$ zrRV1b;!yd?;KViCuP*Ih_32aaj8pMS2JvfRmc);J=PGKaTtvGvERv2w>P55K*%SN- z3NDv8Nj9&7 zIJ1?3mO^Z4IgnTNWy;G~X?d(V9AcDQr?K(gSNX?zzhP#tV(nT z{Gm?7Mf+E#e$iteKtkC_p{+af=BiFrbx;(dQc$uC&zdp;CiBh51{%$=>}pLgF&i3b zozf=W2Ygheu2&W2PX>GL1Rt+l2_Nqt65wfBx!F~v1&`Ez2T1Re2FTg5pZ!|RqE!yn zUBmPqGYq5xn1ZL!OHUp5C!j&qC5dr>Zo$j?!nsf`0W?zn(298 z`TI8jD^ta-OWE6?Wirhn78XpPbrPHM90IvoD?(OPQFSFbP1=GM9nE}w=CX&c9l2

SiEB#C z*;x0TXUI5bwh8woCYy>GH2ZHB88O9WfzhlN`9QJ?x;E(>VlWVvpdhMXdQ?=Y;hi_b z&-+4@iBMEv!vvjBUCvw*oQ8sCfIB7uO{yXI0r3%2&nWVtA0|{idBJb=V6(#DLd)Un zRVGzaB0uz}4%zy_$Xb)A;smwOcnNJ*gH=Usg@Lw*7QacD>?oUBL^Z-ZtIY3_YlQj| z5E{<=a2s$QbEtFvO@<1AD` z-C4vr2KK6U=tA}?3ARt(6u!v}XO&vgWy2X#7CHX<|AW)n~yGVU&G zj*7cbZ~2=#2KC;qb8X_DFH;6QE@ja;%5gn)4XyLSbeC53zp?yC8ECUD?Z=<36sGoB zIkWET&R5fachEW=0vQ~qnT zrS^Q}@XenRTjRu-$R>#=I8@1=13TG2h=(0QOnWIg17lBJx#c+ap&hVHu)!uIz+r2W zA~!I=;*G_3&*u2gKci5F&ekuA6jLfZneABO`TU|cVl4p}ej7!l_{HCBj%?eqKVzn; z-+-A0+J7z>J(zvD-o)GAPv=!0CKkEGskzirMG_ls@5JX(FsM9@6nwrp!Kn(0)lWfo z7RSWKZ_DE%Hqu55Vfy9whQf3FCJjEgSVtJB;_jz#<27;vk52qQ7gIsH+IU(apWdh50uGhe{JZT-vJQn97CY`RDP~&)2gDUjAcVHODY3!nwDl zm%i-$-Cl!*&K)U^uU@{OMapL26JA zq|ld+6SMm6nfjlR!+*NmUpK8R6x6iAaN#qPZ-(d}CJibw#)*+!HVHnag_$Y0T%MgrG%pag>HXf6Oa& z^d(bE&JTYeIh4---C6PNL+Ou}iXCryd#-`rvWY$({$euRwIOxIQ0c0( z;!9I!u1OSm_WHqXW0~INaTR<)Zc*vHw$gPSJbOl*XVX`V_Lbu4_oPSGB=)9C7p?BU ziigu}SFRt7_5LKcKUTUZk-D(7FMsX3-;~WnH>|#3&|8p5o&P~qaegYiCUGF+(VY19 zUF&f7ZC@6Be4kf}(H0);O6IL8r~bLb!?DLd?-9zUdM7Ub&Bn(4L{CqRa`c~`&7yhZ zCosr!%;sIc+RE48zFav!*)!Km)>+pwEx4XPQD;%~#03GRn8nMruT1=LM{Qe}>9d94 z#D84jS77AAZ)a_tGyQ$(*BwtHidZgc_T=%#hr=uc@K2J?9NWVe4K;o4OdD1GTzuk- zAJahkdKPP~YhSs!)sIhqKJkD4hdrbg8U%f&Y_{WZ!=2^TN=P@`VqC)~?)sO>J#b?9 z^riuNz4=S&Y0? zCRH?MQqV~F;RlSDi9NFt(8MYIcromej94md)VbB`a?hD@jwd z)Jah_&&~X2wynF!!YHX()5}^(YfUPfRo_+h4L?DA@)670C~42&YUR$nb(JS4?*ESZ z>cg?pGFNQnxtX6$EO{jh#ZUU)acUWXQ%Nj0f@));;&59%d)1!jeD^!u6g{-~tKXyO zrWD`(@iT4`RZD0RRtu0NXlGLdNbmUvexqfLdey8^-j5!(_~n`7@Clxr`0cBq&vs;) z*fzVFaAN@oqPEz16VB;N^KuhFJ6P6({jvIcc;{Q=fn8p|-5c zr*Is*4*b+{Q!q64N~A4zUb|HG^NjHre*tExg62zvlV$#->7@r{j^smz25mI`Wc;TUZ7Nq4SX03aJJ|2Wx`|8Kq=x`GJ&Mx3%{!MxwFb8>!* zlWBajv9NiA{dyrNuU#e@efmjzUDX#(Ca(Qy%N482v+NYRVl|Df_MohuxS}}RG{qmf zkCUNplin4n(`0MPF|puBO)19;X`<-Rbhe^ty=?YM^ZIC5v$#5Zpg-qax|#vE)>t+Q zMIhEZ*68G2XRDfjTDPouD@pX9Z)vgUW-@6S9=5dTC(r(#YAyPyapRV^Vg@|R&d%jY*zTuViFq#TMJ?>h}DCD(+`{) zGk&7`FKZNxuN#Q9^kog9#_NJ$XAgg7tRbkJ@Zn}orT7h9XEh6BJq1LJp0Mc+ z+>}tqQZjwE`KH*q55M@+2HG~wqs<&3&5vdc(CbG9FCGNHh9HR{`TfKy>Ho>{uoLrQ zuhGKeYc6<8OIVvd-gZ+9T zD9vES>ofSTU3+%R=X7#5!`F(>>8YTrDzhoa>CA^UrGqM@*<3}dVGTi`**MlLrtbBl z?`mkcPWW(>$Fg~xQOo7@gyS~lW(4(T5*3;Y(Xe^eN+T;tQwq%Egej zl<3u)69Es@|E;*N>EgmpjPi}#Wi@IRGtf-yWR`q4?_@{MW*yC2bny!M$Q{jTmK=;G zK69Wsg{hQg^-?RFcSBb>m`J}ZXWrzds{J!fK|68H=O({=GQX<&X-z$uvb=|WI4KXu zR1dG?^uB(jt8SW9TK$J+c(s{JSNy5hZ@Q5gg1h#yDlDtL)$s3T4rSA(xq8v8hC#4B z@2So*$!HitwJ$WQVU(L~i~je{R%BSD?JMtx!D`NtZTrY+>TuOW#IY6iwW~-!r7dqc zy1Z(RE@g~PHev3%Ei9T)5Jf}|zyCmUN>X~^iT8e~QrJ{Bta5KAXA>`6J(I_#e?|-2 zSKb%6$xr|MbWfBAbGip-a{nY)6kD(-)v@fmO-;5vsqB;baT2|pDjq!akIiM!nx7L> zIhu^puU$R5HoQD(kNII(lz4`Mdc#$I_^)hhZB-JRjz@3S>fLnj#^I((>dnrt))WGu zLYhnjn%1sX!x{!Y(Nv6vd?&P5Y{NolV5*>tn*DdTDcYtj6&&VncQDL4s)lJ z(S3~;-paN37vH>pTKATw&@L6y%C*=yC{HDm*m!HI-e@(f3K%mweLX57P>P!Z6hUK=H z^ce!G^4Mw1q)Vq#Y350uHM5te?`BFZCf(3PgWXc2H#B2fOnQq+&wM?%Ve75iZp?h4 zv-6qtFKkG5z>muPPm0mkJ4IxR( zyzJDcyc!0!rl86j552!_QWf>t;Yp7i|Hr3xAOBwE&del;r`|KAS5t?nQm8vSZZ}`q zk2F%OeZ2ACB97j~GoNVu&Evf>d*ql};z>+_sfEp1!z#!*wNbh<@!*F$+oqH!Mr!WjjL9gmrb;%lb&802oI<3kFFi{2J`2I zxNP@Vhli7G_l*wbGpYG)+eR~^>3u1$GcmY4?%fyl))wx2-?jarQqfDHJ1(vrH3H-p ztO_FGywtL4v%4C6(r6L9RL$1pT=KH*ROwB8X}g0^IxpxXhv|-I^GUGtxMtMUAZ9OR zLtY}%?hS`xs?R@q!n9~)P!~ss!+=50KYxzvTkz1yd^a=?rmLt5b*FoD+WW1BO$(x$ W2WlbKnC7_FtM`#VIs8h~j{hHc1!2?x literal 0 HcmV?d00001 diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/clean.bat b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/clean.bat similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/clean.bat rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/clean.bat diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/DottoriLog_mist.sv b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/DottoriKun_MiST.sv similarity index 96% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/DottoriLog_mist.sv rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/DottoriKun_MiST.sv index 93876ac6..f7d96676 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/DottoriLog_mist.sv +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/DottoriKun_MiST.sv @@ -1,4 +1,4 @@ -module DottoriLog_mist( +module DottoriKun_MiST( output LED, output [5:0] VGA_R, output [5:0] VGA_G, @@ -19,7 +19,8 @@ module DottoriLog_mist( `include "rtl\build_id.sv" localparam CONF_STR = { - "DottoriLog;;", + "DottoriKun;;", + "O12,ROM ,Old,Old,New,Mod;", "O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;", "T6,Reset;", "V,v1.00.",`BUILD_DATE @@ -50,6 +51,7 @@ dottori dottori ( .RED(r), .GREEN(g), .BLUE(b), + .GAME(status[2:1]), .vSYNC(vs), .hSYNC(hs), .nRESET(~(status[0] | status[6] | buttons[1])), diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/RAM.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/RAM.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/RAM.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/RAM.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/ROM.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM1.v similarity index 78% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/ROM.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM1.v index 8b8c7b30..5679347d 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/ROM.v +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM1.v @@ -4,7 +4,7 @@ // MODULE: altsyncram // ============================================================ -// File Name: ROM.v +// File Name: ROM1.v // Megafunction Name(s): // altsyncram // @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 12.0 Build 178 05/31/2012 SJ Web Edition +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version // ************************************************************ -//Copyright (C) 1991-2012 Altera Corporation +//Copyright (C) 1991-2013 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing @@ -36,12 +36,12 @@ // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on -module ROM ( +module ROM1 ( address, clock, q); - input [11:0] address; + input [13:0] address; input clock; output [7:0] q; `ifndef ALTERA_RESERVED_QIS @@ -80,22 +80,23 @@ module ROM ( .wren_a (1'b0), .wren_b (1'b0)); defparam + altsyncram_component.address_aclr_a = "NONE", altsyncram_component.clock_enable_input_a = "BYPASS", altsyncram_component.clock_enable_output_a = "BYPASS", `ifdef NO_PLI - altsyncram_component.init_file = "dottori.rif" + altsyncram_component.init_file = "../rtl/roms/Dottori1.rif" `else - altsyncram_component.init_file = "dottori.hex" + altsyncram_component.init_file = "../rtl/roms/Dottori1.hex" `endif , - altsyncram_component.intended_device_family = "Cyclone II", + altsyncram_component.intended_device_family = "Cyclone III", altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", altsyncram_component.lpm_type = "altsyncram", - altsyncram_component.numwords_a = 4096, + altsyncram_component.numwords_a = 16384, altsyncram_component.operation_mode = "ROM", altsyncram_component.outdata_aclr_a = "NONE", altsyncram_component.outdata_reg_a = "UNREGISTERED", - altsyncram_component.widthad_a = 12, + altsyncram_component.widthad_a = 14, altsyncram_component.width_a = 8, altsyncram_component.width_byteena_a = 1; @@ -118,45 +119,46 @@ endmodule // Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" // Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" // Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" // Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" // Retrieval info: PRIVATE: JTAG_ID STRING "NONE" // Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -// Retrieval info: PRIVATE: MIFfilename STRING "dottori.hex" -// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4096" +// Retrieval info: PRIVATE: MIFfilename STRING "../rtl/roms/Dottori1.hex" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384" // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" // Retrieval info: PRIVATE: RegAddr NUMERIC "1" // Retrieval info: PRIVATE: RegOutput NUMERIC "0" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: SingleClock NUMERIC "1" // Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -// Retrieval info: PRIVATE: WidthAddr NUMERIC "12" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "14" // Retrieval info: PRIVATE: WidthData NUMERIC "8" // Retrieval info: PRIVATE: rden NUMERIC "0" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" // Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" // Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -// Retrieval info: CONSTANT: INIT_FILE STRING "dottori.hex" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: CONSTANT: INIT_FILE STRING "../rtl/roms/Dottori1.hex" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" // Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384" // Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" // Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" // Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14" // Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" // Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -// Retrieval info: USED_PORT: address 0 0 12 0 INPUT NODEFVAL "address[11..0]" +// Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL "address[13..0]" // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" // Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -// Retrieval info: CONNECT: @address_a 0 0 12 0 address 0 0 12 0 +// Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0 // Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_bb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM1_bb.v FALSE // Retrieval info: LIB_FILE: altera_mf diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM2.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM2.v new file mode 100644 index 00000000..633cad2c --- /dev/null +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM2.v @@ -0,0 +1,164 @@ +// megafunction wizard: %ROM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ROM2.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module ROM2 ( + address, + clock, + q); + + input [13:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [7:0] sub_wire0; + wire [7:0] q = sub_wire0[7:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .clock0 (clock), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_a ({8{1'b1}}), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_a (1'b0), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_a = "NONE", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", +`ifdef NO_PLI + altsyncram_component.init_file = "../rtl/roms/Dottori2.rif" +`else + altsyncram_component.init_file = "../rtl/roms/Dottori2.hex" +`endif +, + altsyncram_component.intended_device_family = "Cyclone III", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 16384, + altsyncram_component.operation_mode = "ROM", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "UNREGISTERED", + altsyncram_component.widthad_a = 14, + altsyncram_component.width_a = 8, + altsyncram_component.width_byteena_a = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../rtl/roms/Dottori2.hex" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "14" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../rtl/roms/Dottori2.hex" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL "address[13..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM2_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM3.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM3.v new file mode 100644 index 00000000..29c01bf9 --- /dev/null +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/ROM3.v @@ -0,0 +1,164 @@ +// megafunction wizard: %ROM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: ROM3.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module ROM3 ( + address, + clock, + q); + + input [13:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [7:0] sub_wire0; + wire [7:0] q = sub_wire0[7:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .clock0 (clock), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_a ({8{1'b1}}), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_a (1'b0), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_a = "NONE", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", +`ifdef NO_PLI + altsyncram_component.init_file = "../rtl/roms/dottoriM.rif" +`else + altsyncram_component.init_file = "../rtl/roms/dottoriM.hex" +`endif +, + altsyncram_component.intended_device_family = "Cyclone III", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 16384, + altsyncram_component.operation_mode = "ROM", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "UNREGISTERED", + altsyncram_component.widthad_a = 14, + altsyncram_component.width_a = 8, + altsyncram_component.width_byteena_a = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "../rtl/roms/dottoriM.hex" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "16384" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "14" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "../rtl/roms/dottoriM.hex" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16384" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "14" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL "address[13..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL ROM3_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/build_id.sv b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/build_id.sv similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/build_id.sv rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/build_id.sv diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/build_id.tcl b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/build_id.tcl similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/build_id.tcl rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/build_id.tcl diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/dottori.v similarity index 91% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/dottori.v index e2c75a3e..5d41bbaa 100644 --- a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/dottori.v +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/dottori.v @@ -4,6 +4,7 @@ module dottori( input CLK_4M, + input [1:0] GAME, output RED, output GREEN, output BLUE, @@ -15,7 +16,10 @@ module dottori( wire [7:0] DATA_BUS; // Z80 wire [15:0] ADDRESS_BUS; // Z80 -wire [7:0] ROM_DATA; +wire [7:0] ROM_DATA1; +wire [7:0] ROM_DATA2; +wire [7:0] ROM_DATA3; +reg [7:0] ROM_DATA_OUT; wire [7:0] RAM_DATA_OUT; wire [10:0] RAM_ADDRESS_BUS; // Multiplexed (Z80 and render) @@ -41,13 +45,15 @@ reg [3:0] COUNT_IC12; reg [3:0] COUNT_IC13; // Half clock delay (125ns) -ROM MEM_ROM(ADDRESS_BUS[11:0], ~CLK_4M, ROM_DATA); +ROM1 ROM1(ADDRESS_BUS[13:0], ~CLK_4M, ROM_DATA1); +ROM2 ROM2(ADDRESS_BUS[13:0], ~CLK_4M, ROM_DATA2); +ROM3 ROM3(ADDRESS_BUS[13:0], ~CLK_4M, ROM_DATA3); // Half clock delay (125ns) RAM MEM_RAM(RAM_ADDRESS_BUS, CLK_4M, DATA_BUS, ~nRAM_WR, RAM_DATA_OUT); assign DATA_BUS = (~nRAM_RD & nRAM_WR & nLD & ADDRESS_BUS[15] & ~nZ80MEMRD) ? RAM_DATA_OUT : // RAM read - (~ADDRESS_BUS[15] & ~nZ80MEMRD) ? ROM_DATA : // ROM read + (~ADDRESS_BUS[15] & ~nZ80MEMRD) ? ROM_DATA_OUT : // ROM read (~nINPUTS_RD) ? BUTTONS : 8'bzzzzzzzz; // Inputs read // IC5, IC4: RAM/VRAM write decode and gate @@ -78,6 +84,16 @@ begin PAL_LATCH <= DATA_BUS[5:0]; end +always @(posedge nCLK_4M) +begin +case (GAME) + 2'b10 : ROM_DATA_OUT = ROM_DATA2; + 2'b11 : ROM_DATA_OUT = ROM_DATA3; + default : ROM_DATA_OUT = ROM_DATA1; +endcase +end + + // IC21: Pixel and sync gate assign {RED, GREEN, BLUE} = !nH_SYNC ? 3'b000 : PIXEL ? PAL_LATCH[2:0] : PAL_LATCH[5:3]; // 3'd7 : 3'd0; assign vSYNC = V_SYNC;// ? 1'b0 : nH_SYNC; diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/hq2x.sv b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/hq2x.sv similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/hq2x.sv rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/hq2x.sv diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/keyboard.sv b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/keyboard.sv similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/keyboard.sv rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/keyboard.sv diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/mist_io.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/mist_io.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/mist_io.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/mist_io.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/osd.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/osd.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/osd.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/osd.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/pll.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/pll.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/pll.v diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori1.hex b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori1.hex new file mode 100644 index 00000000..5f77365a --- /dev/null +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori1.hex @@ -0,0 +1,1025 @@ +:10000000F3310088ED561833D55F1600197ED1C93B +:10001000E52A01867C0F0FAC0FAD0F0F0F0FAD1F40 +:10002000ED6A2003213C73ED5FAD220186E1C9FF3B +:10003000FFFFFFFFFFFFF7A9C300012100801101AF +:100040008001FF073600EDB03EB8D3000600210066 +:100050008011000834231B7BB220F910F1210080AD +:100060001100087EA72008231B7BB220F618053E4E +:1000700001320086210000010010CD18012A36004F +:10008000A7ED521805210086CBCE210206CD7F02B6 +:10009000211B06CD7F023A0086118882213406CBCF +:1000A000472803215206F5CD8302F11188832134BC +:1000B00006CB4F2803215206F5CD8302F1A720FE7F +:1000C0003E8732038621808211818201000336003F +:1000D000EDB0CD4701AF32088632CC863C32CD86BA +:1000E0003E8032CF86FB310088CD1602CD4701CD50 +:1000F0004509CD2D02CDD102CD5A04CD520518E5CA +:10010000F5C5D5E5DDE5FDE5CD5B01CDAC0AFDE14D +:10011000DDE1E1D1C1F1FBC9110000E5D5113600E7 +:10012000A7ED52D1E12004232318167BAE5F7A237A +:10013000AE5723CB3ACB1B30087AEE88577BEE10B4 +:100140005F0B78B120D5C9210486DB002F5677AA32 +:100150005F7A2FA323777AA32377C92103867ECBE7 +:100160007FC8CBBFD30077C93A0886A72804D60337 +:100170003805060010FEC9DD2100871120000604A5 +:10018000C5D5060521FB08DD7E0786FEC0C5E5DD79 +:10019000E5DCAE03DDE1E1C12310ECD1C1DD1910D6 +:1001A000DFDD210087FD21DD08DD7E061106000F61 +:1001B0003804FD1918F9CDD4011120000603FD21E2 +:1001C000F508DD19DD7E08DDB609C5D5C4D401D139 +:1001D000C110EBC9DD7E01D60347CB38CB38CB3815 +:1001E000E6074FDD7E03D6036F2600292929291152 +:1001F000008058190606FD5E00160079A72807CB77 +:100200003BCB1A3D20F97EB377237EB277FD2311D5 +:100210000F001910E1C9210786CB7E28FC3600C9E2 +:100220003A0586CB7FC821038634CBFEC93A0586C2 +:10023000CB6F2009210986CB46C8C3E5002109867A +:1002400034CB46CA4E023E01CD5602C3E500CD6313 +:10025000023A0A861805F5CD6302F1A7C47702CDEC +:100260009D02C921C582110A000E1406063600231C +:1002700010FB190D20F5C921690687CF23666F5E33 +:100280002356234E237E23EBE547F51A77F11323FC +:1002900010F8E1D511100019D10D20ECC93A0886EB +:1002A000A7C83ACD86E6F028070F118983CDBB0297 +:1002B0003ACD86E60F878787118A834F060021454E +:1002C0000709EB0608C51A771301100009C110F5DC +:1002D000C93A088621DD0287CF23666FE9E502224D +:1002E0000339036E033A0486CB77201B21CF867E29 +:1002F000C604770E00F2FA020E02210A867EB9C801 +:1003000071CD4E02C3E500F3CD7E03CD0C04FB3E60 +:10031000013208863E3C32CF863E03320A86CD4EFD +:1003200002C921CF8635C03E02320886AF32CE8662 +:100330003E04320A86CD4E02C93A0B86A72016210A +:10034000CC867E3CFE0B300177233E018627CA0710 +:100350000377C307033ACE86A7C83E033208862137 +:10036000010922CF863E00320A86CD4E02C921CF36 +:100370008635C036102335CAD500CD4A04C93EA8FB +:10038000110B86121321950701C000EDB0DD210C81 +:10039000862100800E0CE50610C5E5CDC003E1C145 +:1003A00023DD2310F4E1118000190D20E9C9FE7945 +:1003B000C8FE7AC8DD210C865F1600DD19CDFA0370 +:1003C000111000DD46000E00CB5820020E01CB506C +:1003D0002002CBF9AFCB4020013DB17719711971E3 +:1003E00019AFCB6828023E18B177197719711971C6 +:1003F00019AFCB4820013DB177C9F5E6F06F260073 +:10040000292929F1E60F1100805F19C9DD2155085E +:100410001100870604C5DD6E00DD6601012000EDD8 +:10042000B0C1DD23DD2310ED3ACC86878787217D9F +:1004300008CFDD21088711200006047EDD77002328 +:100440007EDD770123DD1910F2C92100800100064D +:100450007E2F77230B78B120F7C93A0886FE02C0B9 +:10046000DD210087DD7E01E607FE042058DD7E03E6 +:10047000E607FE04204FDD7E00DDB6022047DD7E6C +:1004800007219507CF3A04864FDD7E0647E6033EF7 +:100490000328023E0CA6A1202178A6A1202778A639 +:1004A0002023CB66280ADD360400DD360500181B44 +:1004B00078E6033E0328023E0CA6DD7706DD360013 +:1004C00000DD360200CDCF04CDEB04CD3705C921C8 +:1004D0008000DD7E00DDB602200A3A0486CB672864 +:1004E00003210001DD7504DD7405C9DD6E04DD66E0 +:1004F00005DD7E064FE60528077D2F6F7C2F6723DD +:1005000011000079E60C2003110200DDE5DD19DDA4 +:100510005E00DD560119DD7500DD7401DDE1CD22DF +:1005200005C9DD7E01CB3FCB3FCB3F4FDD7E03E6F0 +:10053000F88781DD7707C9DD7E01D602E607FE0573 +:10054000D0DD7E07210C86CFCB6EC8CBAE210B86CB +:1005500035C9DD2120870603C5CD6505C111200001 +:10056000DD1910F4C93A0886FE02C0DD6E08DD66AA +:10057000097DB4C8DD7E01E607FE042034DD7E037C +:10058000E607FE04202BDD7E00DDB6022023DD7EA3 +:1005900007219507CF4F210009111000DD7E060FBE +:1005A00038031918FAD7E60BCF7EA12328FBDD7795 +:1005B00006CDBB05CDEB04CDC805C9DD6E08DD66F3 +:1005C00009DD7504DD7405C92A0087DD5E00DD568E +:1005D00001A7ED52110006197CB5C811000CA7ED5A +:1005E00052D02A0287DD5E02DD5603A7ED521100CC +:1005F00006197CB5C811000CA7ED52D03E0132CED1 +:1006000086C9848207037C0000420000423C767C5D +:100610000449483C49444449423A49848307037C9D +:100620000000420000423C767C4249484249444234 +:1006300049423C4907041C00000222000002403CE1 +:100640003C3A4E42424642424242224242461C3CD0 +:100650003C3A07037C0002220002223C3A3C04465A +:10066000223C422244467C3A3A00007306A106FF2F +:100670000633070583070607C18423C7E004224425 +:1006800024240004242424040007C7E423C780048E +:100690000424202400040424242400040423C3C7C5 +:1006A000E0C5820F06007C000040000042000040D0 +:1006B000000042423E5C00007C424062000040423A +:1006C0003C420000404602420000403A7C420000AA +:1006D000000000000003C10000010004210000012F +:1006E000000407C3C5C7C003C100462100002103A1 +:1006F000C4010004212444012003C0C3A400C00598 +:1007000083080607C0000020000420000020000429 +:1007100023C3C3A42007C4204464200487E3C42463 +:10072000600444044463A00423C3A3A02000000089 +:100730000003C0078307021C002200403C4E4242D7 +:100740004222421E3C3C42465A62423C0008182863 +:100750000808083E003C42020C30407E003C420249 +:100760001C02423C00040C14247E0404007E4078E9 +:1007700004024438001C20407C42423C007E42047B +:1007800008101010003C42423C42423C003C4242B5 +:100790003E020438002A7E2C2C2C2C2C7E2C2C2C57 +:1007A0002C2C2C7E267BEF7E2C2C2C2CEF2C2C2C16 +:1007B0002C2C7EEF77237BEF7E2C2C2CEF2C2C2CFB +:1007C0002C7EEF772323237BEF2C2C2CEF2C2C2C4F +:1007D0002CEF772323232323232A2C2C7D2C2C2C32 +:1007E000262323232323232323230F0F0F0F0F0F4E +:1007F000232323232323232323230F0F0F0F0F0F41 +:10080000232323232323232323230F0F0F0F0F0F30 +:100810002323232323232323297D7E7E7E7E7E7E26 +:100820007D252323232323292C2CEFEFCFCFEFEF9C +:100830002C2C25232323292C2C2CEFEFCFCFEFEFCB +:100840002C2C2C2523292C2C2C2C7D7D5D5D7D7D55 +:100850002C2C2C2C255D0865086D087508004400BB +:100860005C000008B8003A005C000004B7003A00E1 +:1008700054000004A7003A004C0000049780008058 +:1008800000000000008000000100000000800080E7 +:100890000080000000800000018000000080000057 +:1008A00001000100008000800080008000800000C6 +:1008B00001800080008000000100018000800000B5 +:1008C0000100010001800000020000000080000023 +:1008D00002000200008000000200020002303048E6 +:1008E0004884848484484830300C30C0C0300CC008 +:1008F000300C0C30C0844830304884F0FF000110C8 +:1009000001040801040800000108040108040000B3 +:10091000020408020408000002080402080400009F +:1009200004010204010200000402010402010000AB +:10093000080102080102000008020108020100008B +:10094000FF0000D67C3AF086A7C281093A0586CB23 +:100950007FC821F1863603233A0386F6807721F09B +:10096000863602AF3208863E87320386110000CDFC +:100970009D0BDD21BE0B0103063E09CD440BC3E5F3 +:100980000021500C3AF086CF23666FE9210586CB13 +:1009900076C43A0BCB7ECAE5003E0432F08621567F +:1009A0000C3AF18687CF23666FE9215E0C3AF18617 +:1009B00087CF23666FE93AF286080640210000F3EC +:1009C000310088E5E5E5E5E5E5E5E5E5E5E5E5E5CD +:1009D000E5E5E510EE060021008011000834231B38 +:1009E0007AB320F910F1210080110008AFB6C2F9E6 +:1009F00009231B7AB320F518053E01320086310029 +:100A00000021000001A004D1190B78B120F9ED5BA1 +:100A10004309ED520819082805210086CBCE21F0A4 +:100A2000863604233600230877310088DD212B0C1D +:100A30000102073E09CD440BDD21450C3A0086CB6F +:100A4000472804DD214A0C110908CD530BDD21454F +:100A50000C3A0086CB4F2800110905CD530BCD472A +:100A600001FBC3E500110000CD9D0BDD21F10B0161 +:100A7000020A3E0BCD440BC3E50011FFFFCD9D0BD9 +:100A8000C3E50021F0863600233600237E3203863C +:100A9000110000CD9D0BC3E5003A0586CB7FCAE56A +:100AA000003E0232F086CD3A0BC35E09210786353F +:100AB0003AF086A7CA6801FE02CAC80A21640C3A45 +:100AC000F18687CF23666FE90604DD214E0C3AF1EB +:100AD000864F3E0490B9CADD0ADD21500CC53E099F +:100AE00090571E02CD530BC110E0C9060010FEC97D +:100AF0003ABC0BCD900B3E01D3003ABD0BCD900B11 +:100B00003E02D3003ABD0BCD900B3E04D3003ABD5C +:100B10000BCD900B3E07D300C906083A0486DD21B1 +:100B2000230C07DA2A0BDD21270CF5C53E0B905765 +:100B30001E0ACD530BC1F110E5C93AF1863CE6031C +:100B400032F186C9F5C5905759CD530BDD23C1F15C +:100B500010F2C926006A16002929292929292919EC +:100B600011008019E5FDE1DD7E00A7C8C6C10707B9 +:100B7000075F1600216A0C19EBFDE5E10608C51AAE +:100B8000137701100009C110F5FD23DD23C3670BA6 +:100B9000472102002B7DB4C2940B10F5C906302109 +:100BA000000039F3310086D5D5D5D5D5D5D5D5D5E5 +:100BB000D5D5D5D5D5D5D510EEF9FBC9E09440549F +:100BC000455354404D4F444500004D454D4F5259FB +:100BD000405445535400494E505554405445535485 +:100BE00000433F523F5440544553540045584954E4 +:100BF00000494E50555440544553540000544553F9 +:100C0000540053544152540053575C0053575B00F7 +:100C10005249474854004C45465400444F574E00F3 +:100C20005550004F4E40004F4646004D454D4F52E7 +:100C300059405445535400000040524F4D000000AD +:100C40004052414D00474F4F4400424144005D0037 +:100C500040008C09AA09B609650A7A0A830A990A2A +:100C6000990A990AEB0A190BF00A0000000000002B +:100C70000040000000000000000000182442427EF6 +:100C80004242007C42427C42427C003C4240404026 +:100C9000423C007C42424242427C007E40407840DE +:100CA000407E007E404078404040003C42404E4202 +:100CB000423C004242427E424242003E080808084E +:100CC000083E003E08080808483000222428302842 +:100CD0002422004040404040407E0042665A42424A +:100CE0004242004262525A4A4642003C424242421A +:100CF000423C007C42427C404040003C4242424AEE +:100D0000563D007C42427C444242003C42403C0210 +:100D1000423C007C1010101010100042424242422F +:100D2000423C004242424224181800424242425A87 +:100D30003C24006322140814226300412214080892 +:100D40000808007E02041820407E000818380808B1 +:100D5000083C003C42021C20407E0060180406044F +:100D60001860FFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:100D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:100D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:100D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:100DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:100DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:100DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:100DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:100DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:100DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:100E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:100E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:100E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:100E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:100E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:100E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:100E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:100E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:100E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:100E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:100EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:100EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:100EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:100ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:100EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:100EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:100F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:100F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:100F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:100F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:100F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:100F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:100F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:100F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:100F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:100F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:100FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:100FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:100FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:100FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:100FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:100FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1010E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1010F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:10110000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10111000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10112000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10113000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10114000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10115000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10116000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10117000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10118000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10119000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:1011A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1011B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1011C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1011D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1011E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1011F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:10120000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10121000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10122000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10123000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10124000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10125000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10126000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10127000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10128000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10129000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:1012A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1012B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1012C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1012D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1012E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1012F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:10130000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10131000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10132000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10133000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10134000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10135000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10136000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10137000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10138000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10139000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:1013A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1013B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1013C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1013D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1013E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1013F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:10140000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10141000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10142000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10143000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10144000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10145000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10146000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10147000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10148000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10149000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:1014A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1014B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1014C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1014D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1014E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1014F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:10150000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:10151000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10152000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10153000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10154000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10155000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10156000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10157000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10158000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10159000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:1015A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1015B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1015C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1015D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1015E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1015F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:10160000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10161000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10162000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10163000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10164000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10165000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10166000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10167000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10168000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10169000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:1016A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:1016B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1016C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1016D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1016E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1016F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:10170000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:10171000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10172000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10173000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10174000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10175000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10176000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10177000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10178000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10179000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:1017A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:1017B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1017C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1017D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1017E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1017F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:10180000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10181000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10182000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10183000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10184000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10185000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10186000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10187000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10188000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10189000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:1018A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1018B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1018C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1018D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1018E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1018F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:10190000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:10191000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10192000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10193000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10194000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10195000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10196000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10197000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10198000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10199000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:1019A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1019B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1019C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1019D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1019E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1019F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:101A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:101A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:101A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:101A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:101A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:101A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:101A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:101A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:101A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:101A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:101AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:101AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:101AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:101AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:101AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:101AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:101B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:101B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:101B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:101B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:101B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:101B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:101B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:101B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:101B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:101B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:101BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:101BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:101BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:101BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:101BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:101BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:101C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:101C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:101C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:101C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:101C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:101C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:101C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:101C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:101C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:101C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:101CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:101CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:101CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:101CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:101CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:101CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:101D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:101D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:101D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:101D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:101D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:101D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:101D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:101D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:101D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:101D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:101DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:101DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:101DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:101DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:101DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:101DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:101E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:101E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:101E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:101E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:101E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:101E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:101E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:101E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:101E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:101E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:101EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:101EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:101EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:101ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:101EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:101EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:101F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:101F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:101F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:101F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:101F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:101F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:101F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:101F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:101F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:101F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:101FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:101FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:101FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:101FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:101FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:101FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:10200000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10201000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10202000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10203000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10204000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10205000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10206000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10207000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10208000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10209000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1020A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1020B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1020C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1020D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1020E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1020F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10210000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10211000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10212000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10213000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10214000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10215000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10216000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10217000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10218000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10219000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1021A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1021B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1021C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1021D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1021E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1021F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10220000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10221000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10222000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10223000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10224000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10225000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10226000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10227000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10228000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10229000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1022A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1022B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1022C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1022D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1022E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1022F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10230000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10231000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10232000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10233000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10234000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10235000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10236000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10237000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10238000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10239000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1023A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1023B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1023C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1023D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1023E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1023F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10240000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10241000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10242000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10243000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10244000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10245000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10246000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10247000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10248000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10249000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1024A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1024B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1024C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1024D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1024E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1024F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10250000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10251000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10252000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10253000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10254000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10255000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10256000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10257000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10258000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10259000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1025A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1025B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1025C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1025D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1025E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1025F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:10260000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10261000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10262000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10263000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10264000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10265000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10266000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10267000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10268000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10269000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:1026A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1026B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1026C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1026D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1026E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1026F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10270000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10271000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10272000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10273000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10274000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10275000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10276000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10277000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10278000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10279000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:1027A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1027B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1027C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1027D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1027E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1027F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:10280000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10281000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10282000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10283000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10284000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10285000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10286000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10287000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10288000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10289000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1028A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1028B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1028C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1028D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1028E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1028F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10290000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10291000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10292000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10293000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10294000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10295000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10296000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10297000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10298000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10299000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1029A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1029B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1029C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1029D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1029E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1029F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:102A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:102A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:102A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:102A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:102A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:102A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:102A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:102A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:102A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:102A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:102AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:102AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:102AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:102AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:102AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:102AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:102B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:102B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:102B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:102B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:102B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:102B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:102B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:102B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:102B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:102B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:102BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:102BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:102BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:102BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:102BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:102BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:102C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:102C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:102C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:102C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:102C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:102C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:102C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:102C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:102C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:102C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:102CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:102CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:102CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:102CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:102CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:102CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:102D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:102D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:102D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:102D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:102D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:102D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:102D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:102D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:102D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:102D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:102DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:102DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:102DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:102DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:102DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:102DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:102E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:102E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:102E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:102E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:102E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:102E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:102E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:102E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:102E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:102E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:102EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:102EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:102EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:102ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:102EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:102EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:102F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:102F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:102F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:102F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:102F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:102F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:102F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:102F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:102F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:102F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:102FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:102FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:102FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:102FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:102FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:102FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:10300000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10301000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10302000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10303000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10304000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10305000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10306000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10307000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10308000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:10309000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1030A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1030B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1030C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1030D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1030E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:1030F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10310000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10311000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10312000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10313000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10314000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10315000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10316000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10317000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10318000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:10319000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1031A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1031B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1031C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1031D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1031E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:1031F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10320000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10321000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10322000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10323000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10324000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10325000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10326000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10327000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10328000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:10329000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1032A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1032B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1032C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1032D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1032E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:1032F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10330000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10331000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10332000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10333000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10334000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10335000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10336000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10337000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10338000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:10339000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1033A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1033B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1033C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1033D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1033E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:1033F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10340000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10341000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10342000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10343000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10344000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10345000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10346000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10347000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10348000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:10349000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1034A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1034B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1034C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1034D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1034E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:1034F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10350000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10351000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10352000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10353000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10354000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10355000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10356000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10357000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10358000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:10359000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1035A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1035B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1035C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1035D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1035E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:1035F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10360000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10361000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10362000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10363000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10364000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10365000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10366000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10367000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10368000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:10369000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1036A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1036B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1036C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1036D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1036E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:1036F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10370000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10371000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10372000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10373000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10374000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10375000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10376000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10377000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10378000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:10379000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1037A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1037B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1037C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1037D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1037E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:1037F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10380000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10381000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10382000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10383000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10384000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10385000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10386000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10387000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10388000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:10389000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1038A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1038B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1038C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1038D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1038E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:1038F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10390000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10391000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10392000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10393000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10394000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10395000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10396000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10397000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10398000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:10399000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1039A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1039B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1039C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1039D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1039E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:1039F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:103A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:103A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:103A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:103A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:103A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:103A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:103A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:103A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:103A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:103A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:103AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:103AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:103AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:103AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:103AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:103AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:103B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:103B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:103B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:103B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:103B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:103B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:103B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:103B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:103B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:103B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:103BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:103BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:103BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:103BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:103BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:103BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:103C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:103C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:103C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:103C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:103C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:103C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:103C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:103C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:103C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:103C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:103CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:103CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:103CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:103CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:103CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:103CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:103D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:103D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:103D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:103D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:103D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:103D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:103D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:103D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:103D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:103D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:103DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:103DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:103DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:103DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:103DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:103DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:103E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:103E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:103E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:103E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:103E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:103E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:103E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:103E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:103E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:103E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:103EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:103EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:103EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:103ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:103EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:103EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:103F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:103F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:103F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:103F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:103F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:103F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:103F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:103F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:103F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:103F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:103FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:103FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:103FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:103FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:103FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:103FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:00000001FF diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori2.hex b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori2.hex new file mode 100644 index 00000000..101313e2 --- /dev/null +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/Dottori2.hex @@ -0,0 +1,1025 @@ +:10000000F3310088ED561833D55F1600197ED1C93B +:10001000E52A01867C0F0FAC0FAD0F0F0F0FAD1F40 +:10002000ED6A2003213C73ED5FAD220186E1C9FF3B +:10003000FFFFFFFFFFFFF7A9C300012100801101AF +:100040008001FF073600EDB03EB8D3000600210066 +:100050008011000834231B7BB220F910F1210080AD +:100060001100087EA72008231B7BB220F618053E4E +:1000700001320086210000010010CD18012A36004F +:10008000A7ED522805210086CBCE210206CD7F02A6 +:10009000211B06CD7F023A0086118882213406CBCF +:1000A000472803215206F5CD8302F11188832134BC +:1000B00006CB4F2803215206F5CD8302F1A720FE7F +:1000C0003EB832038621808211818201000336000E +:1000D000EDB0CD4701AF32088632CC863C32CD86BA +:1000E0003E8032CF86FB310088CD1602CD4701CD50 +:1000F0002002CD2D02CDD102CD5A04CD520518E5F6 +:10010000F5C5D5E5DDE521078635CD5B01CD680177 +:10011000DDE1E1D1C1F1FBC9110000E5D5113600E7 +:10012000A7ED52D1E12004232318167BAE5F7A237A +:10013000AE5723CB3ACB1B30087AEE88577BEE10B4 +:100140005F0B78B120D5C9210486DB002F5677AA32 +:100150005F7A2FA323777AA32377C92103867ECBE7 +:100160007FC8CBBFD30077C93A0886A72804D60337 +:100170003805060010FEC9DD2100871120000604A5 +:10018000C5D5060521FB08DD7E0786FEC0C5E5DD79 +:10019000E5DCAE03DDE1E1C12310ECD1C1DD1910D6 +:1001A000DFDD210087FD21DD08DD7E061106000F61 +:1001B0003804FD1918F9CDD4011120000603FD21E2 +:1001C000F508DD19DD7E08DDB609C5D5C4D401D139 +:1001D000C110EBC9DD7E01D60347CB38CB38CB3815 +:1001E000E6074FDD7E03D6036F2600292929291152 +:1001F000008058190606FD5E00160079A72807CB77 +:100200003BCB1A3D20F97EB377237EB277FD2311D5 +:100210000F001910E1C9210786CB7E28FC3600C9E2 +:100220003A0586CB7FC821038634CBFEC93A0586C2 +:10023000CB6F2009210986CB46C8C3E5002109867A +:1002400034CB46CA4E023E01CD5602C3E500CD6313 +:10025000023A0A861805F5CD6302F1A7C47702CDEC +:100260009D02C921C582110A000E1406063600231C +:1002700010FB190D20F5C921690687CF23666F5E33 +:100280002356234E237E23EBE547F51A77F11323FC +:1002900010F8E1D511100019D10D20ECC93A0886EB +:1002A000A7C83ACD86E6F028070F118983CDBB0297 +:1002B0003ACD86E60F878787118A834F060021454E +:1002C0000709EB0608C51A771301100009C110F5DC +:1002D000C93A088621DD0287CF23666FE9E502224D +:1002E0000339036E033A0486CB77201B21CF867E29 +:1002F000C604770E00F2FA020E02210A867EB9C801 +:1003000071CD4E02C3E500F3CD7E03CD0C04FB3E60 +:10031000013208863E3C32CF863E03320A86CD4EFD +:1003200002C921CF8635C03E02320886AF32CE8662 +:100330003E04320A86CD4E02C93A0B86A72016210A +:10034000CC867E3CFE0B300177233E018627CA0710 +:100350000377C307033ACE86A7C83E033208862137 +:10036000010922CF863E00320A86CD4E02C921CF36 +:100370008635C036102335CAD500CD4A04C93EA8FB +:10038000110B86121321950701C000EDB0DD210C81 +:10039000862100800E0CE50610C5E5CDC003E1C145 +:1003A00023DD2310F4E1118000190D20E9C9FE7945 +:1003B000C8FE7AC8DD210C865F1600DD19CDFA0370 +:1003C000111000DD46000E00CB5820020E01CB506C +:1003D0002002CBF9AFCB4020013DB17719711971E3 +:1003E00019AFCB6828023E18B177197719711971C6 +:1003F00019AFCB4820013DB177C9F5E6F06F260073 +:10040000292929F1E60F1100805F19C9DD2155085E +:100410001100870604C5DD6E00DD6601012000EDD8 +:10042000B0C1DD23DD2310ED3ACC86878787217D9F +:1004300008CFDD21088711200006047EDD77002328 +:100440007EDD770123DD1910F2C92100800100064D +:100450007E2F77230B78B120F7C93A0886FE02C0B9 +:10046000DD210087DD7E01E607FE042058DD7E03E6 +:10047000E607FE04204FDD7E00DDB6022047DD7E6C +:1004800007219507CF3A04864FDD7E0647E6033EF7 +:100490000328023E0CA6A1202178A6A1202778A639 +:1004A0002023CB66280ADD360400DD360500181B44 +:1004B00078E6033E0328023E0CA6DD7706DD360013 +:1004C00000DD360200CDCF04CDEB04CD3705C921C8 +:1004D0008000DD7E00DDB602200A3A0486CB672864 +:1004E00003210001DD7504DD7405C9DD6E04DD66E0 +:1004F00005DD7E064FE60528077D2F6F7C2F6723DD +:1005000011000079E60C2003110200DDE5DD19DDA4 +:100510005E00DD560119DD7500DD7401DDE1CD22DF +:1005200005C9DD7E01CB3FCB3FCB3F4FDD7E03E6F0 +:10053000F88781DD7707C9DD7E01D602E607FE0573 +:10054000D0DD7E07210C86CFCB6EC8CBAE210B86CB +:1005500035C9DD2120870603C5CD6505C111200001 +:10056000DD1910F4C93A0886FE02C0DD6E08DD66AA +:10057000097DB4C8DD7E01E607FE042034DD7E037C +:10058000E607FE04202BDD7E00DDB6022023DD7EA3 +:1005900007219507CF4F210009111000DD7E060FBE +:1005A00038031918FAD7E60BCF7EA12328FBDD7795 +:1005B00006CDBB05CDEB04CDC805C9DD6E08DD66F3 +:1005C00009DD7504DD7405C92A0087DD5E00DD568E +:1005D00001A7ED52110006197CB5C811000CA7ED5A +:1005E00052D02A0287DD5E02DD5603A7ED521100CC +:1005F00006197CB5C811000CA7ED52D03E0132CED1 +:1006000086C9848207037C0000420000423C767C5D +:100610000449483C49444449423A49848307037C9D +:100620000000420000423C767C4249484249444234 +:1006300049423C4907041C00000222000002403CE1 +:100640003C3A4E42424642424242224242461C3CD0 +:100650003C3A07037C0002220002223C3A3C04465A +:10066000223C422244467C3A3A00007306A106FF2F +:100670000633070583070607C18423C7E004224425 +:1006800024240004242424040007C7E423C780048E +:100690000424202400040424242400040423C3C7C5 +:1006A000E0C5820F06007C000040000042000040D0 +:1006B000000042423E5C00007C424062000040423A +:1006C0003C420000404602420000403A7C420000AA +:1006D000000000000003C10000010004210000012F +:1006E000000407C3C5C7C003C100462100002103A1 +:1006F000C4010004212444012003C0C3A400C00598 +:1007000083080607C0000020000420000020000429 +:1007100023C3C3A42007C4204464200487E3C42463 +:10072000600444044463A00423C3A3A02000000089 +:100730000003C0078307021C002200403C4E4242D7 +:100740004222421E3C3C42465A62423C0008182863 +:100750000808083E003C42020C30407E003C420249 +:100760001C02423C00040C14247E0404007E4078E9 +:1007700004024438001C20407C42423C007E42047B +:1007800008101010003C42423C42423C003C4242B5 +:100790003E020438002A7E2C2C2C2C2C7E2C2C2C57 +:1007A0002C2C2C7E267BEF7E2C2C2C2CEF2C2C2C16 +:1007B0002C2C7EEF77237BEF7E2C2C2CEF2C2C2CFB +:1007C0002C7EEF772323237BEF2C2C2CEF2C2C2C4F +:1007D0002CEF772323232323232A2C2C7D2C2C2C32 +:1007E000262323232323232323230F0F0F0F0F0F4E +:1007F000232323232323232323230F0F0F0F0F0F41 +:10080000232323232323232323230F0F0F0F0F0F30 +:100810002323232323232323297D7E7E7E7E7E7E26 +:100820007D252323232323292C2CEFEFCFCFEFEF9C +:100830002C2C25232323292C2C2CEFEFCFCFEFEFCB +:100840002C2C2C2523292C2C2C2C7D7D5D5D7D7D55 +:100850002C2C2C2C255D0865086D087508004400BB +:100860005C000008B8003A005C000004B7003A00E1 +:1008700054000004A7003A004C0000049780008058 +:1008800000000000008000000100000000800080E7 +:100890000080000000800000018000000080000057 +:1008A00001000100008000800080008000800000C6 +:1008B00001800080008000000100018000800000B5 +:1008C0000100010001800000020000000080000023 +:1008D00002000200008000000200020002303048E6 +:1008E0004884848484484830300C30C0C0300CC008 +:1008F000300C0C30C0844830304884F0FF000110C8 +:1009000001040801040800000108040108040000B3 +:10091000020408020408000002080402080400009F +:1009200004010204010200000402010402010000AB +:10093000080102080102000008020108020100008B +:10094000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10095000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10096000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10097000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10098000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10099000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:1009A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:1009B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1009C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1009D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1009E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1009F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:100A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:100A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:100A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:100A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:100A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:100A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:100A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:100A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:100A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:100A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:100AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:100AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:100AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:100AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:100AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:100AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:100B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:100B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:100B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:100B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:100B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:100B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:100B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:100B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:100B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:100B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:100BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:100BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:100BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:100BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:100BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:100BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:100C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:100C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:100C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:100C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:100C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:100C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:100C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:100C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:100C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:100C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:100CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:100CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:100CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:100CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:100CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:100CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:100D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:100D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:100D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:100D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:100D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:100D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:100D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:100D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:100D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:100D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:100DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:100DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:100DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:100DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:100DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:100DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:100E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:100E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:100E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:100E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:100E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:100E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:100E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:100E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:100E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:100E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:100EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:100EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:100EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:100ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:100EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:100EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:100F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:100F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:100F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:100F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:100F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:100F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:100F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:100F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:100F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:100F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:100FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:100FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:100FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:100FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:100FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:100FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:10100000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10101000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10102000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10103000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10104000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10105000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10106000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10107000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10108000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10109000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1010A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1010B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1010C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1010D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1010E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1010F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:10110000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10111000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10112000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10113000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10114000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10115000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10116000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10117000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10118000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10119000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:1011A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1011B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1011C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1011D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1011E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1011F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:10120000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10121000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10122000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10123000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10124000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10125000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10126000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10127000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10128000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10129000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:1012A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1012B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1012C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1012D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1012E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1012F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:10130000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10131000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10132000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10133000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10134000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10135000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10136000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10137000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10138000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10139000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:1013A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1013B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1013C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1013D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1013E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1013F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:10140000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10141000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10142000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10143000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10144000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10145000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10146000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10147000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10148000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10149000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:1014A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1014B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1014C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1014D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1014E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1014F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:10150000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:10151000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10152000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10153000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10154000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10155000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10156000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10157000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10158000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10159000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:1015A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1015B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1015C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1015D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1015E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1015F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:10160000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10161000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10162000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10163000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10164000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10165000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10166000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10167000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10168000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10169000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:1016A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:1016B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1016C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1016D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1016E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1016F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:10170000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:10171000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10172000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10173000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10174000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10175000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10176000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10177000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10178000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10179000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:1017A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:1017B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1017C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1017D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1017E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1017F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:10180000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10181000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10182000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10183000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10184000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10185000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10186000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10187000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10188000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10189000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:1018A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1018B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1018C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1018D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1018E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1018F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:10190000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:10191000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10192000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10193000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10194000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10195000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10196000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10197000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10198000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10199000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:1019A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1019B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1019C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1019D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1019E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1019F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:101A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:101A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:101A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:101A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:101A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:101A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:101A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:101A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:101A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:101A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:101AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:101AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:101AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:101AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:101AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:101AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:101B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:101B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:101B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:101B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:101B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:101B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:101B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:101B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:101B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:101B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:101BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:101BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:101BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:101BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:101BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:101BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:101C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:101C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:101C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:101C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:101C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:101C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:101C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:101C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:101C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:101C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:101CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:101CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:101CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:101CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:101CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:101CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:101D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:101D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:101D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:101D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:101D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:101D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:101D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:101D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:101D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:101D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:101DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:101DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:101DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:101DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:101DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:101DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:101E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:101E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:101E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:101E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:101E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:101E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:101E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:101E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:101E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:101E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:101EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:101EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:101EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:101ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:101EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:101EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:101F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:101F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:101F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:101F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:101F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:101F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:101F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:101F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:101F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:101F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:101FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:101FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:101FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:101FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:101FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:101FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:10200000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10201000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10202000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10203000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10204000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10205000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10206000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10207000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10208000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10209000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1020A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1020B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1020C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1020D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1020E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1020F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10210000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10211000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10212000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10213000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10214000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10215000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10216000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10217000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10218000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10219000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1021A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1021B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1021C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1021D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1021E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1021F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10220000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10221000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10222000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10223000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10224000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10225000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10226000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10227000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10228000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10229000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1022A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1022B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1022C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1022D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1022E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1022F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10230000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10231000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10232000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10233000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10234000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10235000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10236000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10237000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10238000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10239000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1023A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1023B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1023C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1023D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1023E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1023F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10240000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10241000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10242000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10243000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10244000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10245000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10246000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10247000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10248000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10249000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1024A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1024B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1024C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1024D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1024E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1024F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10250000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10251000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10252000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10253000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10254000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10255000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10256000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10257000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10258000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10259000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1025A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1025B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1025C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1025D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1025E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1025F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:10260000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10261000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10262000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10263000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10264000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10265000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10266000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10267000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10268000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10269000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:1026A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1026B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1026C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1026D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1026E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1026F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10270000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10271000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10272000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10273000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10274000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10275000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10276000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10277000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10278000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10279000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:1027A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1027B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1027C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1027D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1027E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1027F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:10280000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10281000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10282000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10283000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10284000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10285000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10286000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10287000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10288000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10289000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1028A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1028B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1028C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1028D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1028E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1028F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10290000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10291000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10292000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10293000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10294000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10295000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10296000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10297000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10298000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10299000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1029A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1029B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1029C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1029D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1029E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1029F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:102A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:102A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:102A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:102A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:102A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:102A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:102A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:102A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:102A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:102A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:102AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:102AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:102AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:102AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:102AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:102AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:102B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:102B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:102B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:102B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:102B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:102B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:102B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:102B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:102B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:102B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:102BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:102BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:102BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:102BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:102BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:102BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:102C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:102C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:102C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:102C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:102C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:102C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:102C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:102C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:102C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:102C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:102CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:102CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:102CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:102CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:102CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:102CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:102D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:102D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:102D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:102D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:102D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:102D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:102D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:102D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:102D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:102D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:102DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:102DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:102DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:102DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:102DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:102DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:102E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:102E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:102E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:102E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:102E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:102E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:102E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:102E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:102E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:102E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:102EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:102EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:102EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:102ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:102EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:102EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:102F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:102F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:102F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:102F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:102F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:102F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:102F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:102F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:102F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:102F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:102FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:102FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:102FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:102FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:102FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:102FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:10300000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10301000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10302000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10303000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10304000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10305000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10306000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10307000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10308000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:10309000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1030A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1030B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1030C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1030D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1030E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:1030F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10310000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10311000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10312000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10313000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10314000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10315000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10316000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10317000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10318000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:10319000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1031A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1031B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1031C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1031D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1031E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:1031F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10320000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10321000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10322000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10323000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10324000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10325000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10326000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10327000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10328000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:10329000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1032A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1032B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1032C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1032D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1032E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:1032F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10330000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10331000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10332000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10333000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10334000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10335000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10336000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10337000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10338000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:10339000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1033A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1033B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1033C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1033D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1033E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:1033F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10340000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10341000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10342000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10343000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10344000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10345000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10346000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10347000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10348000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:10349000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1034A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1034B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1034C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1034D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1034E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:1034F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10350000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10351000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10352000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10353000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10354000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10355000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10356000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10357000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10358000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:10359000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1035A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1035B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1035C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1035D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1035E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:1035F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10360000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10361000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10362000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10363000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10364000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10365000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10366000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10367000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10368000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:10369000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1036A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1036B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1036C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1036D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1036E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:1036F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10370000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10371000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10372000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10373000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10374000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10375000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10376000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10377000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10378000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:10379000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1037A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1037B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1037C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1037D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1037E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:1037F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10380000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10381000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10382000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10383000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10384000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10385000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10386000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10387000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10388000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:10389000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1038A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1038B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1038C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1038D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1038E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:1038F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10390000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10391000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10392000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10393000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10394000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10395000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10396000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10397000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10398000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:10399000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1039A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1039B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1039C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1039D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1039E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:1039F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:103A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:103A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:103A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:103A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:103A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:103A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:103A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:103A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:103A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:103A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:103AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:103AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:103AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:103AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:103AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:103AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:103B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:103B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:103B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:103B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:103B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:103B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:103B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:103B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:103B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:103B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:103BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:103BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:103BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:103BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:103BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:103BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:103C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:103C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:103C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:103C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:103C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:103C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:103C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:103C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:103C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:103C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:103CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:103CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:103CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:103CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:103CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:103CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:103D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:103D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:103D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:103D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:103D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:103D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:103D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:103D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:103D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:103D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:103DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:103DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:103DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:103DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:103DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:103DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:103E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:103E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:103E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:103E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:103E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:103E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:103E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:103E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:103E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:103E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:103EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:103EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:103EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:103ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:103EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:103EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:103F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:103F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:103F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:103F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:103F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:103F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:103F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:103F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:103F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:103F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:103FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:103FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:103FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:103FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:103FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:103FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:00000001FF diff --git a/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/dottoriM.hex b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/dottoriM.hex new file mode 100644 index 00000000..cf1c4a51 --- /dev/null +++ b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/roms/dottoriM.hex @@ -0,0 +1,1025 @@ +:10000000F3310088ED561833D55F1600197ED1C93B +:10001000E52A01867C0F0FAC0FAD0F0F0F0FAD1F40 +:10002000ED6A2003213C73ED5FAD220186E1C9FF3B +:10003000FFFFFFFFFFFFF7A9C300012100801101AF +:100040008001FF073600EDB03EB8D300C3C000000A +:100050008011000834231B7BB220F910F1210080AD +:100060001100087EA72008231B7BB220F618053E4E +:1000700001320086210000010010CD18012A36004F +:10008000A7ED521805210086CBCE210206CD7F02B6 +:10009000211B06CD7F023A0086118882213406CBCF +:1000A000472803215206F5CD8302F11188832134BC +:1000B00006CB4F2803215206F5CD8302F1A720FE7F +:1000C0003E8732038621808211818201000336003F +:1000D000EDB0CD4701AF32088632CC863C32CD86BA +:1000E0003E80CDD210FB310088CD1602CD4701CD28 +:1000F0004509CD2D02CDD102CD5A04CD520518E5CA +:10010000F5C5D5E5DDE5FDE5CD5B01CDAC0AFDE14D +:10011000DDE1E1D1C1F1FBC9110000E5D5113600E7 +:10012000A7ED52D1E12004232318167BAE5F7A237A +:10013000AE5723CB3ACB1B30087AEE88577BEE10B4 +:100140005F0B78B120D5C9210486DB002F5677AA32 +:100150005F7A2FA323777AA32377C92103867ECBE7 +:100160007FC8CBBFD30077C93A0886A72804D60337 +:100170003805060010FEC9DD2100871120000604A5 +:10018000C5D5060521FB08DD7E0786FEC0C5E5DD79 +:10019000E5DCAE03DDE1E1C12310ECD1C1DD1910D6 +:1001A000DFDD210087FD21DD08DD7E061106000F61 +:1001B0003804FD1918F9CDD4011120000603FD21E2 +:1001C000F508DD19DD7E08DDB609C5D5C4D401D139 +:1001D000C110EBC9DD7E01D60347CB38CB38CB3815 +:1001E000E6074FDD7E03D6036F2600292929291152 +:1001F000008058190606FD5E00160079A72807CB77 +:100200003BCB1A3D20F97EB377237EB277FD2311D5 +:100210000F001910E1C9210786CB7E28FC3600C9E2 +:100220003A0586CB7FC821038634CBFEC93A0586C2 +:10023000CB6F2009210986CB46C8C3E500C3F60D64 +:1002400034CB46CA4E023E01CD5602C3E500CD6313 +:10025000023A0A861805F5CD6302F1A7C47702CDEC +:100260009D02C9CDA00D110B000E08060536002316 +:1002700010FB190D20F5C9C3100F87CF23666F5EE1 +:100280002356234E237E23EBE547F51A77F11323FC +:1002900010F8E1D511100019D10D20ECC93A0886EB +:1002A000A7C83ACD86E6F028070F110F80CDBB0214 +:1002B0003ACD86E60F878787118F804F060021454C +:1002C0000709EB0608C51A771301100009C110F5DC +:1002D000C93A088621DD0287CF23666FE96A0E22BC +:1002E0000339036E033A0486CB77201BC36C0F7E61 +:1002F000C604770E00F2FA020E02210A867EB9C801 +:1003000071CD4E02C3E500F3CDB10ECDC10EFB3E63 +:10031000013208863E3C32CF863E03320A86CD4EFD +:1003200002C921CF8635C03E02320886AF32CE8662 +:100330003E04320A86CD4E02C93A0B86A72016210A +:10034000CC867E3CFE0B300177233E018627CA0710 +:100350000377C30703CD010EA7C83E0332088621E9 +:10036000010922CF863E00320A86CD4E02C921CF36 +:100370008635C036102335CAD500CD4A04C93E00A3 +:10038000110B86121321950701C000EDB0DD210C81 +:10039000862100800E0CE50610C5E5CD890DE1C172 +:1003A00023DD2310F4E1118000190D20E9C95FDD80 +:1003B000210C86E60FFE0FC87B1600DD19CDFA036F +:1003C000111000DD46000E00CB5820020E01CB506C +:1003D0002002CBF9AFCB4020013DB17719711971E3 +:1003E00019AFCB6828023E18B177197719711971C6 +:1003F00019AFCB4820013DB177C9F5E6F06F260073 +:10040000292929F1E60F1100805F19C9DD2155085E +:100410001100870604C5DD6E00DD6601012000EDD8 +:10042000B0C1DD23DD2310ED3ACC86878787217D9F +:1004300008CFDD21088711200006047EDD77002328 +:100440007EDD770123DD1910F2C92100800100064D +:100450007E2F77230B78B120F7C93A0886FE02C0B9 +:10046000DD210087DD7E01E607FE042058DD7E03E6 +:10047000E607FE04204FDD7E00DDB6022047DD7E6C +:1004800007210C86CF3A04864FDD7E0647E6033E01 +:100490000328023E0CA6A1202178A6A1202778A639 +:1004A0002023CB66280ADD360400DD360500181B44 +:1004B00078E6033E0328023E0CA6DD7706DD360013 +:1004C00000DD360200CDCF04CDEB04CD3705C921C8 +:1004D0008000DD7E00DDB602200A3A0486CB672864 +:1004E00003210001DD7504DD7405C9DD6E04DD66E0 +:1004F00005DD7E064FE60528077D2F6F7C2F6723DD +:1005000011000079E60C2003110200DDE5DD19DDA4 +:100510005E00DD560119DD7500DD7401DDE1CD22DF +:1005200005C9DD7E01CB3FCB3FCB3F4FDD7E03E6F0 +:10053000F88781DD7707C9DD7E01D602E607FE0573 +:10054000D0DD7E07210C86CFCB6EC8CBAE210B86CB +:1005500035C9DD2120870603C5CD6505C111200001 +:10056000DD1910F4C93A0886FE02C0DD6E08DD66AA +:10057000097DB4C8DD7E01E607FE042034DD7E037C +:10058000E607FE04202BDD7E00DDB6022023DD7EA3 +:1005900007210C86CF4F210009111000DD7E060FC8 +:1005A00038031918FAD7E60BCF7EA12328FBDD7795 +:1005B00006CDBB05CDEB04CDC805C9DD6E08DD66F3 +:1005C00009DD7504DD7405C92A0087DD5E00DD568E +:1005D00001A7ED52110006197CB5C811000CA7ED5A +:1005E00052D02A0287DD5E02DD5603A7ED521100CC +:1005F00006197CB5C811000CA7ED52D03E01C3AA64 +:100600000DC9848207037C0000420000423C767CD6 +:100610000449483C49444449423A49848307037C9D +:100620000000420000423C767C4249484249444234 +:1006300049423C4907041C00000222000002403CE1 +:100640003C3A4E42424642424242224242461C3CD0 +:100650003C3A07037C0002220002223C3A3C04465A +:10066000223C422244467C3A3A00007306A106FF2F +:100670000633070583070607C18423C7E004224425 +:1006800024240004242424040007C7E423C780048E +:100690000424202400040424242400040423C3C7C5 +:1006A000E0C5820F06007C000040000042000040D0 +:1006B000000042423E5C00007C424062000040423A +:1006C0003C420000404602420000403A7C420000AA +:1006D000000000000003C10000010004210000012F +:1006E000000407C3C5C7C003C100462100002103A1 +:1006F000C4010004212444012003C0C3A400C00598 +:1007000083080607C0000020000420000020000429 +:1007100023C3C3A42007C4204464200487E3C42463 +:10072000600444044463A00423C3A3A02000000089 +:100730000003C0078307021C002200403C4E4242D7 +:100740004222421E3C3C42465A62423C0008182863 +:100750000808083E003C42020C30407E003C420249 +:100760001C02423C00040C14247E0404007E4078E9 +:1007700004024438001C20407C42423C007E42047B +:1007800008101010003C42423C42423C003C4242B5 +:100790003E020438003A3C3C3E3C3C361F3A3C3C6E +:1007A0003E3C3C361F331F1F331F1F331F331F1F99 +:1007B000331F1F331F3B3E3E3D3C3C3F3E3F3C3CD6 +:1007C0003D3E3E371F3B3F371F1F1F3B3F371F1F1D +:1007D0001F3B3F371F393D371F3A3C3D3F3D3C36BD +:1007E0001F3B3D351F1F1F331F331A1E1F1E16339D +:1007F0001F331F1F1F1F1F331F33191D1D1D1533CF +:100800001F331F1F1F3A3C3D3E3D3E3C3C3C3E3D9E +:100810003E3D3C361F331F1F331F331F1F1F331F27 +:10082000331F1F331F3B3C3C351F39361F3A351FE2 +:10083000393C3C371F331F1F1F1F1F331F331F1F20 +:100840001F1F1F331F393C3C3C3C3C3D3C3D3C3C66 +:100850003C3C3C351F5D0865086D087508003C0090 +:100860003C000008B8003C001C000004B7003C003D +:100870002C000004A7006C001C00000497800040BE +:100880000000000000800040004000000080008068 +:1008900000400000008000400040004000800080D8 +:1008A0000040004000800080008000400080008008 +:1008B0000080002000800080008000800080000018 +:1008C0000120002000800000014000400080000066 +:1008D0000100010001800000020002000284CCFC43 +:1008E000FC78000078FCFCCC84F078383878F03C58 +:1008F000787070783C78B4B4FCFCB4F0FF00011060 +:1009000001040801040800000108040108040000B3 +:10091000020408020408000002080402080400009F +:1009200004010204010200000402010402010000AB +:10093000080102080102000008020108020100008B +:10094000FF0000D67C3AF086A7C28109CD720ECB9B +:100950007FC821F1863603233A0386F6807721F09B +:10096000863602AF3208863E87320386110000CDFC +:100970009D0BDD21BE0B0103063E09CD440BC3E5F3 +:100980000021500C3AF086CF23666FE9210586CB13 +:1009900076CD970E0000CAE5003E0432F08621565F +:1009A0000C3AF18687CF23666FE9215E0C3AF18617 +:1009B00087CF23666FE93AF286080640210000F3EC +:1009C000310088E5E5E5E5E5E5E5E5E5E5E5E5E5CD +:1009D000E5E5E510EE060021008011000834231B38 +:1009E0007AB320F910F1210080110008AFB6C2F9E6 +:1009F00009231B7AB320F518053E01320086310029 +:100A00000021000001A004D1190B78B120F9ED5BA1 +:100A10004309ED520819082805210086CBCE21F0A4 +:100A2000863604233600230877310088DD212B0C1D +:100A30000102073E09CD440BDD21450C3A0086CB6F +:100A4000472804DD214A0C110908CD530BDD21454F +:100A50000C3A0086CB4F2800110905CD530BCD472A +:100A600001FBC3E500110000CD9D0BDD21F10B0161 +:100A7000020A3E0BCD440BC3E50011FFFFCD9D0BD9 +:100A8000C3271021F0863600233600237E320386EA +:100A9000110000CD9D0BC3D500CD720ECB7FCAE5F2 +:100AA000003E0232F086CD3A0BC35E09210786353F +:100AB0003AF086A7CA6801FE02CAC80A21640C3A45 +:100AC000F18687CF23666FE90604DD214E0C3AF1EB +:100AD000864F3E0490B9CADD0ADD21500CC53E099F +:100AE00090571E02CD530BC110E0C9060010FEC97D +:100AF0003AA487CD900B0606C521800D78CFD30090 +:100B00003AA587CD900BC110EF3E07D300C93ABD7F +:100B10000BCD900B3E07D300C906083A0486DD21B1 +:100B2000230C07DA2A0BDD21270CF5C53E0B905765 +:100B30001E0ACD530BC1F110E5C93AF1863CE6031C +:100B400032F186C9F5C5905759CD530BDD23C1F15C +:100B500010F2C926006A16002929292929292919EC +:100B600011008019E5FDE1DD7E00A7C8C6C10707B9 +:100B7000075F1600216A0C19EBFDE5E10608C51AAE +:100B8000137701100009C110F5FD23DD23C3670BA6 +:100B9000472102002B7DB4C2940B10F5C906302109 +:100BA000000039F3310086D5D5D5D5D5D5D5D5D5E5 +:100BB000D5D5D5D5D5D5D510EEF9FBC9E0564054DD +:100BC000455354404D4F444500004D454D4F5259FB +:100BD000405445535400494E505554405445535485 +:100BE00000433F523F5440544553540045584954E4 +:100BF00000494E50555440544553540000544553F9 +:100C0000540053544152540053575C0053575B00F7 +:100C10005249474854004C45465400444F574E00F3 +:100C20005550004F4E40004F4646004D454D4F52E7 +:100C300059405445535400000040524F4D000000AD +:100C40004052414D00474F4F4400424144005D0037 +:100C500040008C09AA09B609650A7A0A830A990A2A +:100C6000990A990AEB0A190BF00A0000000000002B +:100C70000040000000000000000000182442427EF6 +:100C80004242007C42427C42427C003C4240404026 +:100C9000423C007C42424242427C007E40407840DE +:100CA000407E007E404078404040003C42404E4202 +:100CB000423C004242427E424242003E080808084E +:100CC000083E003E08080808483000222428302842 +:100CD0002422004040404040407E0042665A42424A +:100CE0004242004262525A4A4642003C424242421A +:100CF000423C007C42427C4040405500550055003B +:100D00005500007C42427C444242003C42403C024E +:100D1000423C007C1010101010100042424242422F +:100D2000423C55AA55AA55AA55AA00424242425AE7 +:100D30003C24006322140814226300412214080892 +:100D4000080855FF55FF55FF55FF000818380808DB +:100D5000083C003C42021C20407E0060180406044F +:100D60001860FFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:100D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:100D8000070504060203010507DD4600CB68280DB0 +:100D90003A0B863C320B863ACD86FE40D0C3C00368 +:100DA000210185CD6602210985C9F3C5E53AA087F1 +:100DB0003D32A087B720073E0132CE8618340608A0 +:100DC000C5CD4A04C110F93E3CDD77013E2CDD77EC +:100DD00003DD360000DD3602003E02DD77062AA183 +:100DE000877CB5280A215386CB8E216386CB86CD9E +:100DF000D90EE1C1FBC93A0886B7C8210986C340AC +:100E0000022AA1877CB5285E2B22A1877CB72056B9 +:100E10007DB7280EE6E3204EF3CD4A04CD4A04FB0D +:100E20001844F321518606057EF602772310F92136 +:100E300060867EF608772306057EF60F772310F985 +:100E40007EF604772170867EF608772306057EF607 +:100E50000F772310F97EF6047721818606057EF64A +:100E600001772310F9FB3ACE86C93E0332A087C32F +:100E7000E5023A0486CB672003AF18043AA3873C07 +:100E800032A387FE3C200C3A0886B720063A058636 +:100E9000CBFFC93A0586C9C43A0BCB4EC43A0BCB3B +:100EA00046C4AA0E3A0586E6B0C93AF1863DC33E6D +:100EB0000BC5E5D5110000CD9D0BF3D1E1C1C37E7B +:100EC000033ACD86FE163805216000180321001074 +:100ED00022A187CDD90EC30C04D5210F8411100097 +:100EE0003AA087FE0128073D473E3CCDF60EAF06EF +:100EF00001CDF60ED1C977190777190777CBBE193F +:100F000077CBBE190F77190F771936001910E7C97B +:100F1000DDE5FDE521620F87CF23666FE5DDE11199 +:100F2000010ACD530BDD2311090ACD530BFDE1DD81 +:100F3000E1C95041555345004040404040005052A7 +:100F400045535300535441525400524541445900B3 +:100F50004040404040004040404040004040474FFB +:100F60004000320F320F3E0F4A0F560FF3C53E803E +:100F7000CD900B3E07D3003E38CD900B3E03D300FF +:100F8000AFCD900BF5F1F5F1F5F1F5F1F5F1F5F1E6 +:100F90003E07D3003AA487CD900B00CDBA0F3E0791 +:100FA000D3003AA6873C32A687E60320083AA787F3 +:100FB000D60232A787210A86C1C93AA687E6014F21 +:100FC0003AA787B14F0636213B1179E63FCFD300D0 +:100FD0000D0D3AA587D601B720FB10EB0618217B33 +:100FE0001179E63FCFD3000C0C3AA587D601B72084 +:100FF000FB10EBC93A0586CB4728083AA4873D3257 +:10100000A487C9CB4F28083AA4873C32A487C9CB10 +:101010005728083AA5873D32A587C9CB5F28073AEC +:10102000A5873C32A587C93ABC0B32A4873ABD0BD1 +:1010300032A587DD21421001000C3E0CCD440BC3CC +:10104000E5005245444051515656565A5A004040C8 +:10105000404051515656565A5A0059454C405151EC +:101060005656565A5A004040404051515656565ACC +:101070005A0047524E4051515656565A5A00404017 +:10108000404051515656565A5A0043594E405151BC +:101090005656565A5A00424C554051515656565A79 +:1010A0005A004040404051515656565A5A004D4100 +:1010B000474051515656565A5A00404040405151AF +:1010C0005656565A5A005748544051515656565A39 +:1010D0005A00F332CF86CDDA10C9E5C5DDE5F5AFAC +:1010E00032098632A7873E0432A5873E2132A48783 +:1010F00021BB11DD210080060CC506107EDD7700C6 +:10110000237EDD7710237EDD7720237EDD7730237D +:101110007EDD7740237EDD7750237EDD7760237E82 +:10112000DD777023DD2310D4017000DD09C110C903 +:101130003E02CD7702F1DDE1C1E1C9040000040403 +:101140000004040404040406040406060606060655 +:101150000606070706070707070707070706070723 +:10116000060606060606060604040604040404042D +:10117000040400000404000000000000010100005D +:101180000101010101010101010101010303010349 +:1011900003030307030307070707070703030703FF +:1011A0000303030103030101010101010101010125 +:1011B0000101010100000101000000000F10272FB4 +:1011C0002E2E2E00FF00FFFF00AA0000FF00FFFFF1 +:1011D000FF3F1E00FF00FFFFC12A5000FF00FFFF7E +:1011E000F87A3800FF00FFFF00AA0000FF00FFFFB1 +:1011F000189A0000FF00FFFF00AA0000FF00FFFF99 +:101200001F9E0500FF00FFFF83284000FF00FFFF37 +:10121000F0F27400FF00FFFF00AA0000FF00FFFFD4 +:10122000F0723400FF00FFFF3FBF0F00FF00FFFF21 +:10123000FFFFFF00F008E4F4F4F4F42E2E2E2E2E1F +:101240002E2E2EBF3FBF3FBF3FBF3FCEF5F43938F4 +:10125000F9E8EA8F3FBF7E7E7F7F7F9AE8E8767667 +:10126000F7D7D7FFBF86FD0D8DAD8DF2D010F606F6 +:101270007F7F7FFFBF86FD0D8DAD8DF4D112F00213 +:10128000787A7C9F7F7FFCFCFFFFFF31D5D1E5E1C1 +:10129000E5A1A5FFFFFFF9F9FFF8FFD1E5E1E5E1E1 +:1012A00065D1B5EF20A2A4A1A5A1ADFF00AA00FFC2 +:1012B000FEF8FFF4F4F434B4B4B4B42E2E2E2F2F71 +:1012C0002F2F2FBF3F3EBF80FFFFFFDA9777CF3F23 +:1012D000FFFFFF7F3FBCCFF0FFFFFFB72FEF9F7FE8 +:1012E000FFFFFFAD8D89EFE0FFFFFF7F7F7F7F7FF7 +:1012F000FFFFFFAD8D89EFE0FFFFFF7C7E7F7F7FEB +:10130000FFFFFFFF7E799FE0FFFFFF6155D13DFCAE +:10131000FFFFFFDEDF9CF708FFFFFFB15521ED0C5B +:10132000FFFFFFACAF2FEF0FFFFFFF00FFFFFFFF3F +:10133000FFFFFF34F4F4F4F4F4F4F42F2F2F2F2FE5 +:101340002F2F2FFFFFFFFFFFFFFFFFFFE7EBE1EA7C +:10135000E3EBE3FFFCFAF4EA55ABD7FFFFFE3EBC3C +:10136000BDBAB9FF3F9F4FB7377B7BFFDFCFD7C3F6 +:10137000D5C6D7FF81A980AF8FAF0FFFFFFF7F7F5B +:101380007F7F7FFFFFFF80AA80AF89FFFFFF0CAC4C +:1013900001F8C9FFFFFF00AA007F79FFFFFFFF7F71 +:1013A0003FDFEFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1013B000FFFFFFF4F4F4F4F4F4F4F42F2F2F2F2FA5 +:1013C0002F2F2FFFFFFFFFFFFFFFFFEBE3EBE3EB11 +:1013D000E3E3FBEFFFFFFFFEFEF8FFB2B4A9A58B2E +:1013E0009387B7FDFDCECEFBFDF1FFC7D7C7D7472B +:1013F0005787B7AFCFEFFFFDFDF1FF7F7F7F7F7F87 +:101400007F7F7FEF80A481ACCEEFF7D85154555445 +:10141000D5B4EF797F787F5E5F5C77EF60D2B4B14F +:101420005521EDFF3FBF0FEFAF2FEFFFFFFFFFFF96 +:10143000FFFFFFF4F4F4F4F4F4F4F42F2F27100F6B +:10144000000000FFFFFF00FF000000F8FFFF00FFAB +:1014500000000000FFFF00FF00000030FFFF00FF62 +:1014600000000000FFFF00FF00000030FFFF00FF52 +:1014700000000000FFFF00FF0000007FFFFF00FFF3 +:10148000000000F8FFFF00FF0000001FFFFF00FF4B +:1014900000000008FFFF00FF0000000CFFFF00FF3E +:1014A0000000000FFFFF00FF000000FFFFFF00FF34 +:1014B000000000F4F4E408F0000000000000000068 +:1014C000000000000000000000000000000000001C +:1014D000000000000107060C0D0D0D00FFFF00FFCE +:1014E000FF8080003C3E373331343600070F1DB992 +:1014F000F1E54D00879F98B3B7B6B600FCFC00FC41 +:10150000FD0101003F7FE0DF9FB0B700E0E000E0B9 +:10151000E001E100387C6CD6D6B2BB0000000000D0 +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:101550000000000D0D0D0D0D0D0C068080808080AB +:10156000FFFF0037373737373636361DBDFDFDFDF7 +:10157000ED4D0DB6B6B6B6B6B7B39801010101018F +:10158000FDFC00B7B0B7B7B39FDFE0E1636362660D +:1015900066656DBB7D6D6DCEFEBE8000808080C0B7 +:1015A000C0406000000000000000000000000000DB +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D0000000000701000000000000FFFF00000005 +:1015E00000000036360000000000000D0D00001F56 +:1015F0000F00079F8700008FDFD9D9FCFC0000197E +:10160000BBBB9B7F3F0000F0E000E0EDFB00000073 +:10161000000000BF3F000000000000E0F0000000FC +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:1016600000000000000000000000000F181F1F0015 +:101670000000009919DFCF000000009B9B9B190020 +:10168000000000F030F0E00000000000000000006A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000FFFFFFFFFF2E +:1017C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1017D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1017E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1017F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:10180000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10181000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10182000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10183000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10184000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10185000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10186000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10187000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10188000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10189000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:1018A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1018B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1018C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1018D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1018E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1018F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:10190000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:10191000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10192000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10193000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10194000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10195000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10196000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10197000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10198000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10199000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:1019A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1019B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1019C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1019D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1019E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1019F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:101A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:101A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:101A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:101A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:101A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:101A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:101A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:101A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:101A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:101A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:101AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:101AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:101AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:101AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:101AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:101AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:101B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:101B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:101B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:101B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:101B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:101B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:101B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:101B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:101B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:101B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:101BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:101BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:101BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:101BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:101BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:101BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:101C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:101C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:101C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:101C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:101C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:101C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:101C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:101C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:101C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:101C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:101CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:101CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:101CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:101CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:101CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:101CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:101D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:101D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:101D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:101D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:101D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:101D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:101D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:101D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:101D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:101D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:101DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:101DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:101DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:101DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:101DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:101DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:101E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:101E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:101E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:101E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:101E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:101E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:101E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:101E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:101E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:101E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:101EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:101EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:101EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:101ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:101EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:101EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:101F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:101F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:101F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:101F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:101F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:101F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:101F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:101F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:101F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:101F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:101FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:101FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:101FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:101FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:101FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:101FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:10200000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10201000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10202000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10203000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10204000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10205000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10206000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10207000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10208000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10209000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1020A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1020B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1020C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1020D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1020E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1020F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10210000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10211000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10212000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10213000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10214000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10215000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10216000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10217000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10218000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10219000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1021A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1021B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1021C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1021D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1021E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1021F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10220000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10221000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10222000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10223000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10224000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10225000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10226000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10227000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10228000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10229000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1022A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1022B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1022C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1022D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1022E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1022F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10230000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10231000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10232000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10233000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10234000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10235000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10236000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10237000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10238000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10239000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1023A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1023B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1023C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1023D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1023E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1023F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10240000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10241000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10242000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10243000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10244000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10245000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10246000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10247000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10248000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10249000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1024A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1024B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1024C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1024D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1024E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1024F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10250000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10251000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10252000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10253000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10254000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10255000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10256000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10257000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10258000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10259000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1025A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1025B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1025C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1025D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1025E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1025F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:10260000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10261000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10262000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10263000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10264000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10265000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10266000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10267000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10268000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10269000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:1026A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1026B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1026C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1026D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1026E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1026F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10270000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10271000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10272000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10273000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10274000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10275000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10276000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10277000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10278000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10279000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:1027A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1027B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1027C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1027D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1027E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1027F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:10280000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10281000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10282000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10283000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10284000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10285000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10286000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10287000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10288000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10289000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:1028A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1028B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1028C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1028D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1028E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1028F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:10290000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:10291000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10292000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10293000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10294000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10295000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10296000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10297000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10298000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10299000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:1029A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1029B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1029C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1029D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1029E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1029F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:102A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:102A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:102A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:102A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:102A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:102A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:102A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:102A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:102A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:102A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:102AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:102AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:102AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:102AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:102AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:102AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:102B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:102B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:102B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:102B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:102B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:102B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:102B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:102B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:102B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:102B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:102BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:102BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:102BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:102BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:102BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:102BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:102C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:102C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:102C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:102C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:102C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:102C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:102C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:102C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:102C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:102C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:102CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:102CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:102CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:102CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:102CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:102CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:102D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:102D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:102D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:102D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:102D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:102D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:102D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:102D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:102D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:102D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:102DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:102DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:102DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:102DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:102DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:102DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:102E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:102E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:102E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:102E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:102E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:102E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:102E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:102E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:102E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:102E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:102EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:102EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:102EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:102ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:102EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:102EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:102F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:102F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:102F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:102F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:102F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:102F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:102F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:102F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:102F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:102F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:102FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:102FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:102FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:102FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:102FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:102FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:10300000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10301000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10302000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10303000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10304000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10305000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10306000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:10307000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:10308000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:10309000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1030A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1030B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1030C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:1030D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:1030E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:1030F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10310000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10311000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10312000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10313000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10314000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10315000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10316000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:10317000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:10318000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:10319000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1031A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1031B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1031C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:1031D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:1031E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:1031F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10320000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10321000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10322000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10323000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10324000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10325000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10326000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:10327000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10328000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:10329000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1032A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1032B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1032C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:1032D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1032E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:1032F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10330000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10331000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10332000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10333000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10334000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10335000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10336000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:10337000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:10338000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:10339000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1033A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1033B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1033C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:1033D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:1033E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:1033F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10340000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10341000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10342000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10343000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10344000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10345000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10346000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:10347000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10348000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:10349000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1034A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1034B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1034C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:1034D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:1034E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:1034F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10350000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10351000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10352000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10353000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10354000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10355000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10356000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10357000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:10358000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:10359000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1035A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1035B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1035C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:1035D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB +:1035E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEB +:1035F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDB +:10360000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10361000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10362000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10363000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10364000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10365000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A +:10366000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6A +:10367000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5A +:10368000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4A +:10369000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A +:1036A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2A +:1036B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1A +:1036C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0A +:1036D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:1036E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:1036F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10370000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC9 +:10371000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 +:10372000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA9 +:10373000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10374000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF89 +:10375000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 +:10376000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF69 +:10377000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF59 +:10378000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF49 +:10379000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 +:1037A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF29 +:1037B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF19 +:1037C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF09 +:1037D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 +:1037E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9 +:1037F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD9 +:10380000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC8 +:10381000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB8 +:10382000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA8 +:10383000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98 +:10384000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF88 +:10385000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF78 +:10386000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF68 +:10387000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF58 +:10388000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF48 +:10389000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF38 +:1038A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF28 +:1038B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF18 +:1038C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF08 +:1038D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8 +:1038E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE8 +:1038F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD8 +:10390000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7 +:10391000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10392000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10393000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10394000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10395000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF77 +:10396000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF67 +:10397000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF57 +:10398000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF47 +:10399000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF37 +:1039A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF27 +:1039B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF17 +:1039C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07 +:1039D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1039E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:1039F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:103A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:103A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:103A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA6 +:103A3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:103A4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF86 +:103A5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF76 +:103A6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF66 +:103A7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF56 +:103A8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF46 +:103A9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF36 +:103AA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF26 +:103AB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF16 +:103AC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF06 +:103AD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6 +:103AE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6 +:103AF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD6 +:103B0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC5 +:103B1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB5 +:103B2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA5 +:103B3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF95 +:103B4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF85 +:103B5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF75 +:103B6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:103B7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF55 +:103B8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF45 +:103B9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF35 +:103BA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF25 +:103BB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF15 +:103BC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF05 +:103BD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5 +:103BE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE5 +:103BF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD5 +:103C0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC4 +:103C1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB4 +:103C2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:103C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:103C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF84 +:103C5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:103C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF64 +:103C7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF54 +:103C8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:103C9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF34 +:103CA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF24 +:103CB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:103CC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04 +:103CD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4 +:103CE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE4 +:103CF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD4 +:103D0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3 +:103D1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB3 +:103D2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA3 +:103D3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF93 +:103D4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83 +:103D5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF73 +:103D6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63 +:103D7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF53 +:103D8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF43 +:103D9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF33 +:103DA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF23 +:103DB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF13 +:103DC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03 +:103DD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3 +:103DE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3 +:103DF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD3 +:103E0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC2 +:103E1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:103E2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA2 +:103E3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF92 +:103E4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF82 +:103E5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF72 +:103E6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF62 +:103E7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF52 +:103E8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF42 +:103E9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF32 +:103EA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF22 +:103EB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF12 +:103EC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF02 +:103ED000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2 +:103EE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE2 +:103EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD2 +:103F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC1 +:103F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB1 +:103F2000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:103F3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:103F4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:103F5000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF71 +:103F6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF61 +:103F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:103F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF41 +:103F9000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF31 +:103FA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF21 +:103FB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF11 +:103FC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01 +:103FD000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:103FE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1 +:103FF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD1 +:00000001FF diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/scandoubler.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/scandoubler.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/scandoubler.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/scandoubler.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/cpu_z80.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/cpu_z80.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/cpu_z80.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/cpu_z80.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_alu.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_alu.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_alu.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_alu.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_core.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_core.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_core.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_core.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_mcode.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_mcode.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_mcode.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_mcode.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_reg.v b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_reg.v similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/tv80/tv80_reg.v rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/tv80/tv80_reg.v diff --git a/Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/video_mixer.sv b/Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/video_mixer.sv similarity index 100% rename from Arcade_MiST/Atari-Hardware/DottoriKun_MiST/rtl/video_mixer.sv rename to Arcade_MiST/Unknown-Hardware/DottoriKun_MiST/rtl/video_mixer.sv