From fc5d1618760a56863a3c9e06b086eb5b1995c8d1 Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Thu, 13 Jun 2019 22:28:08 +0200 Subject: [PATCH] Williams 6809: DAC clock must be in sync with the audio generator! --- .../Williams 6809 rev.1 Hardware/Colony7/Colony7.sdc | 7 ++----- .../Colony7/rtl/Colony7_MiST.sv | 2 +- .../Defender/Defender_MiST.sdc | 7 ++----- .../Defender/rtl/Defender_MiST.sv | 2 +- Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/Jin_MiST.sdc | 7 ++----- .../Williams 6809 rev.1 Hardware/Jin/rtl/Jin_MiST.sv | 2 +- Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/Mayday.sdc | 7 ++----- .../Williams 6809 rev.1 Hardware/Mayday/rtl/Mayday_MiST.sv | 2 +- 8 files changed, 12 insertions(+), 24 deletions(-) diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/Colony7.sdc b/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/Colony7.sdc index fca44902..a2568ed2 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/Colony7.sdc +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/Colony7.sdc @@ -87,8 +87,8 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk #************************************************************** set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_L}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_R}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_L}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_R}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}] @@ -116,9 +116,6 @@ set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks set_multicycle_path -to {VGA_*[*]} -setup 2 set_multicycle_path -to {VGA_*[*]} -hold 1 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -setup 2 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -hold 1 - #************************************************************** # Set Maximum Delay #************************************************************** diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/rtl/Colony7_MiST.sv b/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/rtl/Colony7_MiST.sv index 1d3ba159..941c52bd 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/rtl/Colony7_MiST.sv +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Colony7/rtl/Colony7_MiST.sv @@ -188,7 +188,7 @@ user_io( dac #( .C_bits(15)) dac( - .clk_i(clk_sys), + .clk_i(clock_0p89), .res_n_i(1), .dac_i({audio,audio}), .dac_o(AUDIO_L) diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/Defender_MiST.sdc b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/Defender_MiST.sdc index fca44902..a2568ed2 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/Defender_MiST.sdc +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/Defender_MiST.sdc @@ -87,8 +87,8 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk #************************************************************** set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_L}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_R}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_L}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_R}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}] @@ -116,9 +116,6 @@ set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks set_multicycle_path -to {VGA_*[*]} -setup 2 set_multicycle_path -to {VGA_*[*]} -hold 1 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -setup 2 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -hold 1 - #************************************************************** # Set Maximum Delay #************************************************************** diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/Defender_MiST.sv b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/Defender_MiST.sv index 04d56a58..0695e117 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/Defender_MiST.sv +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/Defender_MiST.sv @@ -193,7 +193,7 @@ assign AUDIO_R = dac_o; dac #( .C_bits(15)) dac( - .clk_i(clk_sys), + .clk_i(clock_0p89), .res_n_i(1), .dac_i({audio,audio}), .dac_o(dac_o) diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/Jin_MiST.sdc b/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/Jin_MiST.sdc index fca44902..a2568ed2 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/Jin_MiST.sdc +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/Jin_MiST.sdc @@ -87,8 +87,8 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk #************************************************************** set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_L}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_R}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_L}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_R}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}] @@ -116,9 +116,6 @@ set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks set_multicycle_path -to {VGA_*[*]} -setup 2 set_multicycle_path -to {VGA_*[*]} -hold 1 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -setup 2 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -hold 1 - #************************************************************** # Set Maximum Delay #************************************************************** diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/rtl/Jin_MiST.sv b/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/rtl/Jin_MiST.sv index 68b498cf..adfc76a8 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/rtl/Jin_MiST.sv +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Jin/rtl/Jin_MiST.sv @@ -193,7 +193,7 @@ user_io( dac #( .C_bits(15)) dac( - .clk_i(clk_sys), + .clk_i(clock_0p89), .res_n_i(1), .dac_i({audio,audio}), .dac_o(AUDIO_L) diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/Mayday.sdc b/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/Mayday.sdc index fca44902..a2568ed2 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/Mayday.sdc +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/Mayday.sdc @@ -87,8 +87,8 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk #************************************************************** set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_L}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_R}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_L}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[2]}] 1.000 [get_ports {AUDIO_R}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}] @@ -116,9 +116,6 @@ set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks set_multicycle_path -to {VGA_*[*]} -setup 2 set_multicycle_path -to {VGA_*[*]} -hold 1 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -setup 2 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -hold 1 - #************************************************************** # Set Maximum Delay #************************************************************** diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/rtl/Mayday_MiST.sv b/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/rtl/Mayday_MiST.sv index 2fc1354d..3e39607b 100644 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/rtl/Mayday_MiST.sv +++ b/Arcade_MiST/Williams 6809 rev.1 Hardware/Mayday/rtl/Mayday_MiST.sv @@ -188,7 +188,7 @@ user_io( dac #( .C_bits(15)) dac( - .clk_i(clk_sys), + .clk_i(clock_0p89), .res_n_i(1), .dac_i({audio,audio}), .dac_o(AUDIO_L)