1
0
mirror of synced 2026-01-27 12:43:21 +00:00
Files
YosysHQ.yosys/tests/various/sformatf.ys
2023-08-11 04:46:52 +02:00

13 lines
237 B
Plaintext

read_verilog <<EOT
module top;
localparam a = $sformatf("0x%x", 8'h5A);
localparam b = $sformatf("%d", 4'b011);
generate
if (a != "0x5a") $error("a incorrect!");
if (b != " 3") $error("b incorrect!");
endgenerate
endmodule
EOT