1
0
mirror of synced 2026-01-29 21:40:57 +00:00
Files
YosysHQ.yosys/tests/sva/basic00.sv
2017-07-27 11:42:05 +02:00

13 lines
489 B
Systemverilog

module top (input clk, reset, antecedent, output reg consequent);
always @(posedge clk)
consequent <= reset ? 0 : antecedent;
`ifdef FAIL
test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
else $error("Failed with consequent = ", $sampled(consequent));
`else
test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |=> consequent )
else $error("Failed with consequent = ", $sampled(consequent));
`endif
endmodule