mirror of
https://github.com/antonblanchard/microwatt.git
synced 2026-01-19 17:28:07 +00:00
Merge pull request #91 from tgingold/gpr-file-fix
Fix register file size (there are 32 gprs).
This commit is contained in:
commit
625eb0175f
@ -23,7 +23,7 @@ entity register_file is
|
||||
end entity register_file;
|
||||
|
||||
architecture behaviour of register_file is
|
||||
type regfile is array(0 to 32) of std_ulogic_vector(63 downto 0);
|
||||
type regfile is array(0 to 31) of std_ulogic_vector(63 downto 0);
|
||||
signal registers : regfile := (others => (others => '0'));
|
||||
begin
|
||||
-- synchronous writes
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user