mirror of
https://github.com/antonblanchard/microwatt.git
synced 2026-01-13 15:18:09 +00:00
Fix ghdl build error with pp_soc_memory
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
This commit is contained in:
parent
1fa0b332ca
commit
95b9f19882
@ -66,7 +66,7 @@ begin
|
||||
|
||||
wb_ack_out <= read_ack and wb_stb_in;
|
||||
|
||||
process(clk)
|
||||
memory_0: process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
if reset = '1' then
|
||||
@ -102,6 +102,6 @@ begin
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process clk;
|
||||
end process;
|
||||
|
||||
end architecture behaviour;
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user