mirror of
https://github.com/antonblanchard/microwatt.git
synced 2026-01-11 23:43:15 +00:00
It also stores the dirty status so that's known. This does some Makefile tricks so that we only rebuild when the git hash changes. This avoids rebuilding the world every time we run make. Also adds fusesoc generator, so that should continue to work as before. Signed-off-by: Dan Horák <dan@danny.cz> Signed-off-by: Michael Neuling <mikey@neuling.org>
10 lines
202 B
VHDL
10 lines
202 B
VHDL
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
|
|
library work;
|
|
|
|
package git is
|
|
constant GIT_HASH : std_ulogic_vector(55 downto 0) := x"@hash@";
|
|
constant GIT_DIRTY : std_ulogic := '@dirty@';
|
|
end git;
|