mirror of
https://github.com/antonblanchard/microwatt.git
synced 2026-01-13 07:09:54 +00:00
The VUnit log package is a SW style logging framework in VHDL and the check package is an assertion library doing its error reporting with VUnit logging. These testbenches don't use, and do not need, very advanced logging/checking features but the following was possible to improve - Checking equality in VHDL can be quite tedious with a lot of type conversions and long message strings to explain the data received and what was expected. VUnit's check_equal procedure allow comparison between same or similar types and automatically create the error message for you. - The code has report statements used for testbench progress reporting and debugging. These were replaced with the info and debug procedures. info logs are visible by default while debug is not. This means that debug logs don't have to be commented, which they are now, when not used. Instead there is a show procedure making debug messages visible. The show procedure has been commented to hide the debug messages but a more elegant solution is to control visibility from a generic and then set that generic from the command line. I've left this as a TODO but the run script allow you to extend the standard CLI of VUnit to add new options and you can also set generics from the run script. - VUnit log messages are color coded if color codes are supported by the terminal. It makes it quicker to spot messages of different types when there are many log messages. Error messages will always be made visible on the terminal but you must use the -v (verbose) to see other logs. - Some tests have a lot of "metvalue detected" warning messages from the numeric_std package and these clutter the logs when using the -v option. VUnit has a simulator independent option allowing you to suppress those messages. That option has been enabled. Signed-off-by: Lars Asplund <lars.anders.asplund@gmail.com>
115 lines
2.4 KiB
VHDL
115 lines
2.4 KiB
VHDL
library vunit_lib;
|
|
context vunit_lib.vunit_context;
|
|
|
|
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
|
|
library work;
|
|
use work.common.all;
|
|
use work.wishbone_types.all;
|
|
|
|
entity plru_tb is
|
|
generic (runner_cfg : string := runner_cfg_default);
|
|
end plru_tb;
|
|
|
|
architecture behave of plru_tb is
|
|
signal clk : std_ulogic;
|
|
signal rst : std_ulogic;
|
|
|
|
constant clk_period : time := 10 ns;
|
|
|
|
signal acc_en : std_ulogic;
|
|
signal acc : std_ulogic_vector(2 downto 0);
|
|
signal lru : std_ulogic_vector(2 downto 0);
|
|
|
|
begin
|
|
plru0: entity work.plru
|
|
generic map(
|
|
BITS => 3
|
|
)
|
|
port map(
|
|
clk => clk,
|
|
rst => rst,
|
|
|
|
acc => acc,
|
|
acc_en => acc_en,
|
|
lru => lru
|
|
);
|
|
|
|
clk_process: process
|
|
begin
|
|
clk <= '0';
|
|
wait for clk_period/2;
|
|
clk <= '1';
|
|
wait for clk_period/2;
|
|
end process;
|
|
|
|
rst_process: process
|
|
begin
|
|
rst <= '1';
|
|
wait for 2*clk_period;
|
|
rst <= '0';
|
|
wait;
|
|
end process;
|
|
|
|
stim: process
|
|
begin
|
|
test_runner_setup(runner, runner_cfg);
|
|
|
|
wait for 4*clk_period;
|
|
|
|
info("accessing 1:");
|
|
acc <= "001";
|
|
acc_en <= '1';
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 2:");
|
|
acc <= "010";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 7:");
|
|
acc <= "111";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 4:");
|
|
acc <= "100";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 3:");
|
|
acc <= "011";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 5:");
|
|
acc <= "101";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 3:");
|
|
acc <= "011";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 5:");
|
|
acc <= "101";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 6:");
|
|
acc <= "110";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
info("accessing 0:");
|
|
acc <= "000";
|
|
wait for clk_period;
|
|
info("lru:" & to_hstring(lru));
|
|
|
|
test_runner_cleanup(runner);
|
|
end process;
|
|
end;
|