1
0
mirror of https://github.com/ibm2030/IBM2030.git synced 2026-01-11 23:52:47 +00:00

Correct MAX7318 address sending, remove superfluous LED2 vector

This commit is contained in:
ibm2030 2015-12-18 16:22:46 +01:00
parent 315816f6db
commit 4ed56c4ac5
2 changed files with 1 additions and 9 deletions

View File

@ -196,7 +196,6 @@ signal Clock1ms : STD_LOGIC; -- 1kHz clock for single-shots etc.
signal DEBUG : DEBUG_BUS; -- Passed to all modeles to probe signals
signal LED_vector : std_logic_vector(0 to 255);
signal LED2_vector : std_logic_vector(0 to 4);
signal Switch_vector : std_logic_vector(0 to 63);
begin
@ -805,13 +804,6 @@ begin
254 => IND_CHK_ALU,
255 => IND_CHK_A_REG,
others => '0');
LED2_vector <= (
0=>IND_LOAD,
1=>IND_TEST,
2=>IND_WAIT,
3=>IND_MAN,
4=>IND_SYST);
front_panel_LEDs : entity panel_LEDs
generic map(

View File

@ -46,7 +46,7 @@ entity panel_Switches is
Read_delay : integer := 700; -- Number of divided clocks to wait between scan drive and switch read
Number_Switches : integer := 64;
Number_LEDs : integer := 5;
MAX7318_address : std_logic_vector(6 downto 0) := "1000000"
MAX7318_address : std_logic_vector(6 downto 0) := "0100000"
);
Port ( -- Lamp input vector
LEDs : in std_logic_vector(0 to Number_LEDs-1);