diff --git a/conv.c b/conv.c index caeccc2..04e262e 100644 --- a/conv.c +++ b/conv.c @@ -10,7 +10,7 @@ int main(int argc, char *argv[]) printf("-- Machine generated from ram.img.\n"); printf("library ieee;\n use ieee.std_logic_1164.all;\nuse ieee.numeric_std.all;\n\n"); printf("package bootrom is\n"); - printf(" type rom_t is array (0 to 2047) of std_logic_vector(31 downto 0);\n constant rom : rom_t := (\n"); + printf(" type rom_t is array (0 to 16383) of std_logic_vector(31 downto 0);\n constant rom : rom_t := (\n"); l = read(0,v,4); for (i=0 ; l; i++) { diff --git a/cpu_simple_sram.vhd b/cpu_simple_sram.vhd index 27d11dc..eb6f296 100644 --- a/cpu_simple_sram.vhd +++ b/cpu_simple_sram.vhd @@ -4,6 +4,7 @@ use ieee.numeric_std.all; use work.cpu2j0_pack.all; entity cpu_sram is + generic ( ADDR_WIDTH : natural := 15 ); port ( clk : in std_logic; ibus_i : in cpu_instruction_o_t; @@ -16,7 +17,7 @@ end; architecture struc of cpu_sram is signal db_we : std_logic_vector(3 downto 0); signal rd : std_logic_vector(31 downto 0); - signal ra : std_logic_vector(12 downto 2); + signal ra : std_logic_vector(ADDR_WIDTH-1 downto 2); signal en : std_logic; signal iclk : std_logic; @@ -27,18 +28,18 @@ begin (db_i.wr and db_i.we(1)) & (db_i.wr and db_i.we(0)); - ra <= db_i.a(12 downto 2) when db_i.en = '1' else ibus_i.a(12 downto 2); + ra <= db_i.a(ADDR_WIDTH-1 downto 2) when db_i.en = '1' else ibus_i.a(ADDR_WIDTH-1 downto 2); -- clk memory on negative edge to avoid wait states iclk <= not clk; en <= db_i.en or ibus_i.en; r : entity work.simple_ram - generic map (ADDR_WIDTH => 13) + generic map (ADDR_WIDTH => ADDR_WIDTH) port map(clk => iclk, en => en, we => db_we, - waddr => db_i.a(12 downto 2), + waddr => db_i.a(ADDR_WIDTH-1 downto 2), di => db_i.d, raddr => ra, diff --git a/ram.img b/ram.img index 5c9f754..df88c36 100755 Binary files a/ram.img and b/ram.img differ diff --git a/ram_init.vhd b/ram_init.vhd index 354232e..b4ebd44 100644 --- a/ram_init.vhd +++ b/ram_init.vhd @@ -4,80 +4,102 @@ library ieee; use ieee.numeric_std.all; package bootrom is - type rom_t is array (0 to 2047) of std_logic_vector(31 downto 0); + type rom_t is array (0 to 16383) of std_logic_vector(31 downto 0); constant rom : rom_t := ( x"0000010c", - x"00001ffc", + x"00007ffc", x"0000010c", - x"00001ffc", - x"00000d1a", - x"00000cfa", - x"00000d1a", - x"00000cfa", - x"00000cfa", - x"00000d2a", - x"00000d2a", - x"00000d0a", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cbc", - x"00000cd2", - x"00000cee", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"00000cfa", - x"d019d116", + x"00007ffc", + x"00000dae", + x"00000d8e", + x"00000dae", + x"00000d8e", + x"00000d8e", + x"00000dbe", + x"00000dbe", + x"00000d9e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d50", + x"00000d66", + x"00000d82", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"00000d8e", + x"d03ed13b", x"2102000b", x"00090009", - x"0009d014", - x"d1122102", - x"d010400b", - x"0009d110", - x"d0112102", + x"0009d039", + x"d1372102", + x"d026400b", + x"0009d135", + x"d0362102", + x"d024400b", + x"0009d024", + x"400b0009", + x"d023400b", + x"0009d023", + x"400b0009", + x"d022400b", + x"0009d022", + x"400b0009", + x"d021400b", + x"0009d021", + x"400b0009", + x"d020400b", + x"0009d020", + x"400b0009", + x"d01f400b", + x"0009d01f", + x"400b0009", + x"d01e400b", + x"0009d01e", + x"400b0009", + x"d01d400b", x"0009e000", x"e101e202", x"e303e404", @@ -90,9 +112,24 @@ package bootrom is x"4e0ed002", x"400b0009", x"c3200009", - x"0000019c", - x"00000528", + x"00000230", + x"000005bc", x"00000100", + x"00001540", + x"00001900", + x"00001cd0", + x"00001fa0", + x"00002450", + x"000028d0", + x"00002cb0", + x"00002dd0", + x"00002ef0", + x"00003010", + x"00003120", + x"00003230", + x"000039d0", + x"00003be0", + x"00003f50", x"abcd0000", x"000000ff", x"0000004f", @@ -102,16 +139,16 @@ package bootrom is x"410b6483", x"60834f26", x"000b68f6", - x"00001028", + x"000010bc", x"d0034f22", x"400b0009", x"4f26000b", x"00090009", - x"00001040", + x"000010d4", x"000b0009", x"d101412b", x"00090009", - x"00001348", + x"000013dc", x"614c6213", x"729f622c", x"e3053236", @@ -142,7 +179,7 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"000001a8", + x"0000023c", x"2f86e000", x"2f96e903", x"2fa62fb6", @@ -186,7 +223,7 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"00001640", + x"000044c4", x"2f86e800", x"2f966953", x"2fa66a53", @@ -201,7 +238,7 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"0000016c", + x"00000200", x"2f862f96", x"2fa66a43", x"2fb62fc6", @@ -230,10 +267,10 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"0000016c", - x"000002d4", - x"00001640", - x"00000184", + x"00000200", + x"00000368", + x"000044c4", + x"00000218", x"2f862f96", x"2fa62fb6", x"6b632fc6", @@ -296,8 +333,8 @@ package bootrom is x"6cf66bf6", x"6af669f6", x"000b68f6", - x"000001a8", - x"00001024", + x"0000023c", + x"000010b8", x"2f862f96", x"2fa66a53", x"2fb62fc6", @@ -332,10 +369,10 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"0000016c", - x"000002d4", - x"000015ec", - x"00001640", + x"00000200", + x"00000368", + x"00004470", + x"000044c4", x"2f862f96", x"2fa62fb6", x"2fc62fd6", @@ -521,23 +558,23 @@ package bootrom is x"1f75490b", x"1f16afe5", x"56f60009", - x"00000fc4", - x"000001a8", - x"00000184", - x"0000016c", - x"00000310", - x"000015f8", - x"00000d7c", - x"000001e0", - x"00000390", - x"00000ed0", - x"00000224", - x"000002d4", - x"000015f0", - x"00001640", - x"00000fac", - x"00000f40", - x"00000d7c", + x"00001058", + x"0000023c", + x"00000218", + x"00000200", + x"000003a4", + x"0000447c", + x"00000e10", + x"00000274", + x"00000424", + x"00000f64", + x"000002b8", + x"00000368", + x"00004474", + x"000044c4", + x"00001040", + x"00000fd4", + x"00000e10", x"1fc77a01", x"e90064a4", x"6043883d", @@ -627,16 +664,16 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"00000ed0", - x"00000390", - x"00000f40", - x"00000310", - x"000015fc", - x"000016b8", - x"000001e0", - x"00000d88", - x"00001024", - x"000015f8", + x"00000f64", + x"00000424", + x"00000fd4", + x"000003a4", + x"00004480", + x"0000453c", + x"00000274", + x"00000e1c", + x"000010b8", + x"0000447c", x"2f862448", x"2f962fa6", x"2fb62fc6", @@ -704,15 +741,15 @@ package bootrom is x"6bf66af6", x"69f6000b", x"68f60009", - x"0000016c", - x"000002d4", - x"00001668", - x"00001654", - x"00000224", - x"00001610", - x"00001614", - x"00001640", - x"00000528", + x"00000200", + x"00000368", + x"000044ec", + x"000044d8", + x"000002b8", + x"00004494", + x"00004498", + x"000044c4", + x"000005bc", x"2f866043", x"2f964009", x"2fa64009", @@ -796,12 +833,12 @@ package bootrom is x"6cf66bf6", x"6af669f6", x"000b68f6", - x"0000016c", - x"00001640", - x"00000ed0", - x"00000184", - x"00000528", - x"00000d3a", + x"00000200", + x"000044c4", + x"00000f64", + x"00000218", + x"000005bc", + x"00000dce", x"d01151f4", x"201251f3", x"201661f3", @@ -819,8 +856,8 @@ package bootrom is x"40134022", x"d00164f2", x"400b0009", - x"00000b0c", - x"00001bb8", + x"00000ba0", + x"00004a3c", x"2f062f16", x"e0f0400e", x"e0052f06", @@ -868,7 +905,7 @@ package bootrom is x"70c05101", x"6002002b", x"00090009", - x"00001b60", + x"000049e4", x"ef046ff2", x"e0006002", x"402b0009", @@ -941,19 +978,19 @@ package bootrom is x"f80007ff", x"402b400b", x"c3200009", - x"00001ba0", + x"00004a24", x"0000fb00", x"00008900", x"00008b00", x"0000f000", x"0000a000", x"0000f0ff", - x"00001b60", + x"000049e4", x"0000b000", x"0000ff00", x"0000c300", - x"00001bbc", - x"00000d3a", + x"00004a40", + x"00000dce", x"e1163416", x"8d2de000", x"6243c702", @@ -980,8 +1017,8 @@ package bootrom is x"5116e004", x"2512000b", x"00090009", - x"00001b60", - x"00001ba0", + x"000049e4", + x"00004a24", x"e1163416", x"8d2ce000", x"6243c702", @@ -1007,25 +1044,25 @@ package bootrom is x"1154d103", x"1156e004", x"000b0009", - x"00001b60", - x"00001ba0", + x"000049e4", + x"00004a24", x"24488901", x"d1021145", x"d102412b", x"00090009", - x"00001ba0", - x"00000d3a", + x"00004a24", + x"00000dce", x"d1056211", x"22288904", x"d3045335", x"2321e200", x"2121000b", x"00090009", - x"00001bbc", - x"00001ba0", + x"00004a40", + x"00004a24", x"d001402b", x"00090009", - x"000009dc", + x"00000a70", x"d2096122", x"21188d03", x"e33271ff", @@ -1036,8 +1073,8 @@ package bootrom is x"91032212", x"2312000b", x"00090088", - x"00001afc", - x"00001b5c", + x"00004980", + x"000049e0", x"abcd0000", x"000b0009", x"d104644c", @@ -1058,8 +1095,8 @@ package bootrom is x"64036083", x"4f26000b", x"68f60009", - x"00001040", - x"00001028", + x"000010d4", + x"000010bc", x"d102e202", x"1123000b", x"00090009", @@ -1077,7 +1114,7 @@ package bootrom is x"60804f26", x"6af669f6", x"000b68f6", - x"00001028", + x"000010bc", x"d10fe27f", x"7ffc2122", x"e1002f12", @@ -1127,7 +1164,7 @@ package bootrom is x"000b68f6", x"2edf0080", x"00ff0009", - x"000010c0", + x"00001154", x"abcd0000", x"2f86e800", x"2f96e90b", @@ -1164,9 +1201,9 @@ package bootrom is x"69f6000b", x"68f600c0", x"00ff0009", - x"000010c0", + x"00001154", x"abcd0000", - x"000014ac", + x"00004330", x"d10ce31c", x"2f866613", x"e509e208", @@ -1180,7 +1217,7 @@ package bootrom is x"73fce000", x"8068d001", x"000b68f6", - x"00001bc0", + x"00004b44", x"d1036012", x"c8018bfc", x"d1012142", @@ -1207,7 +1244,7 @@ package bootrom is x"4f2669f6", x"412b68f6", x"00b00009", - x"00001270", + x"00001304", x"2f86e700", x"2f966943", x"2fa62fb6", @@ -1238,8 +1275,8 @@ package bootrom is x"4f266bf6", x"6af669f6", x"412b68f6", - x"0000181c", - x"0000125c", + x"000046a0", + x"000012f0", x"2f862f96", x"2fa62fb6", x"2fc6d144", @@ -1311,24 +1348,2964 @@ package bootrom is x"6403480b", x"6403afd0", x"e50200ff", - x"000010c0", + x"00001154", x"000927bf", - x"00001b4c", - x"00001270", - x"00001288", - x"000012c8", - x"0000167c", - x"00001224", - x"00001688", - x"00001348", - x"0000125c", - x"00001104", - x"0000118c", - x"00001694", - x"000016a0", - x"00001690", - x"0000175c", - x"000016ac", + x"000049d0", + x"00001304", + x"0000131c", + x"0000135c", + x"00004500", + x"000012b8", + x"0000450c", + x"000013dc", + x"000012f0", + x"00001198", + x"00001220", + x"00004518", + x"00004524", + x"00004514", + x"000045e0", + x"00004530", + x"4f22dd03", + x"a0060009", + x"00090009", + x"00090009", + x"000018e8", + x"e08bd1de", + x"21020009", + x"a0006212", + x"e1fc2219", + x"60238888", + x"89014d2b", + x"0009d10c", + x"412ae0ab", + x"2f062f06", + x"2f062f06", + x"2f062f06", + x"2f062f06", + x"4f22402a", + x"4f2661f6", + x"62f663f6", + x"64f665f6", + x"66f667f6", + x"000b68f6", + x"00090009", + x"000015a4", + x"e8126083", + x"88128901", + x"4d2b0009", + x"e07ea00d", + x"700188aa", + x"89014d2b", + x"0009e07b", + x"b00c7002", + x"88558901", + x"4d2b0009", + x"a00c0009", + x"887f8901", + x"4d2b0009", + x"afede0aa", + x"887d8901", + x"4d2b0009", + x"000be055", + x"d111d312", + x"e07e412b", + x"700188aa", + x"89014d2b", + x"0009e07b", + x"430b7002", + x"88558901", + x"4d2b0009", + x"a0160009", + x"887f8901", + x"4d2b0009", + x"afede0aa", + x"887d8901", + x"4d2b0009", + x"000be055", + x"00090009", + x"00090009", + x"00090009", + x"0000160c", + x"00001618", + x"d1a5e0a0", + x"80107001", + x"80117001", + x"80127001", + x"80130008", + x"8d158410", + x"88a08b12", + x"00088f02", + x"8411a00e", + x"000988a1", + x"8b0b0018", + x"8f098412", + x"88a28b06", + x"00188d02", + x"8413a002", + x"000988a3", + x"89014d2b", + x"0009e014", + x"e1abd293", + x"00032212", + x"00090009", + x"00096022", + x"88ac8901", + x"4d2b0009", + x"a0040009", + x"61227101", + x"000b2212", + x"e010e1ab", + x"d2890023", + x"22120009", + x"00090009", + x"00090009", + x"00094d2b", + x"00096022", + x"88ab8901", + x"4d2b0009", + x"b006e012", + x"88128901", + x"4d2b0009", + x"a00d0009", + x"2f064f22", + x"b003e0ab", + x"4f26000b", + x"60f62f06", + x"4f22e088", + x"4f26000b", + x"60f6d06b", + x"d16b3010", + x"89008b01", + x"4d2b0009", + x"d1673010", + x"8b008901", + x"4d2b0009", + x"e0ab88ab", + x"8901a0be", + x"000988ac", + x"8b01a0ba", + x"0009d462", + x"d5613450", + x"8901a0b4", + x"00093540", + x"8901a0b0", + x"00093452", + x"8901a0ac", + x"00093542", + x"8901a0a8", + x"00093453", + x"8901a0a4", + x"00093543", + x"8901a0a0", + x"00093456", + x"8b01a09c", + x"00093546", + x"8b01a098", + x"00093457", + x"8b01a094", + x"00093547", + x"8b01a090", + x"0009d44d", + x"d54e3450", + x"8b01a08a", + x"00093540", + x"8b01a086", + x"00093452", + x"8901a082", + x"00093542", + x"897f3453", + x"8b7d3543", + x"897b3456", + x"8b793546", + x"89773457", + x"8b753547", + x"8973d43f", + x"d53f3450", + x"896f3540", + x"896d3452", + x"896b3542", + x"8b693453", + x"8b673543", + x"89653456", + x"89633546", + x"8b613457", + x"8b5f3547", + x"895dd433", + x"d5343450", + x"89593540", + x"89573452", + x"89553542", + x"8b533453", + x"89513543", + x"8b4f3456", + x"894d3546", + x"8b4b3457", + x"89493547", + x"8b470018", + x"d426d52b", + x"245c8b42", + x"254c8b40", + x"0008d423", + x"d527245c", + x"8b3b254c", + x"8b390008", + x"d41fd525", + x"245c8b34", + x"254c8b32", + x"0018d41c", + x"d522245c", + x"8b2d254c", + x"8b2bd419", + x"d520245c", + x"8b27254c", + x"8b250008", + x"d415d51e", + x"245c8920", + x"254c891e", + x"0018d412", + x"d51a245c", + x"8919254c", + x"89170018", + x"d419d518", + x"245c8912", + x"254c8910", + x"e400e501", + x"e6ff4411", + x"8b0b4511", + x"8b094611", + x"89074415", + x"89054515", + x"8b034615", + x"8901a002", + x"00094d2b", + x"0009a01d", + x"00090009", + x"00090009", + x"12345678", + x"89abcdef", + x"5a5a5a5a", + x"aa5a5a5a", + x"4a5a5a5a", + x"12abcdef", + x"ab34cdef", + x"abcd56ef", + x"abcdef78", + x"abcdef01", + x"00000001", + x"ffffffff", + x"00004ac4", + x"4f26d003", + x"d1012102", + x"000b0009", + x"abcd0000", + x"00000012", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00001cb8", + x"e0ffd1d4", + x"d2d4400e", + x"d0dc0302", + x"411e0412", + x"422e0522", + x"30308901", + x"4d2b0009", + x"31408901", + x"4d2b0009", + x"32508901", + x"4d2b0009", + x"0028d0c9", + x"400ad1c9", + x"411ad2c9", + x"422a030a", + x"041a052a", + x"30308901", + x"4d2b0009", + x"31408901", + x"4d2b0009", + x"32508901", + x"4d2b0009", + x"0028d1ba", + x"d2bcd0bd", + x"21024106", + x"4202030a", + x"30308901", + x"4d2b0009", + x"63223030", + x"89014d2b", + x"0009d4b3", + x"31408901", + x"4d2b0009", + x"d5b13250", + x"89014d2b", + x"00090028", + x"d1acd2af", + x"d0b02102", + x"41164212", + x"031a3030", + x"89014d2b", + x"00096322", + x"30308901", + x"4d2b0009", + x"d4a53140", + x"89014d2b", + x"0009d5a4", + x"32508901", + x"4d2b0009", + x"d19fd2a2", + x"d0a52102", + x"41264222", + x"032a3030", + x"89014d2b", + x"00096322", + x"30308901", + x"4d2b0009", + x"d4983140", + x"89014d2b", + x"0009d597", + x"32508901", + x"4d2b0009", + x"e000400e", + x"d191d294", + x"e0ff2102", + x"d09d4107", + x"42030302", + x"30308901", + x"4d2b0009", + x"63223030", + x"89014d2b", + x"0009d48a", + x"31408901", + x"4d2b0009", + x"d5883250", + x"89014d2b", + x"0009e000", + x"401ed183", + x"d285d089", + x"21024117", + x"42130312", + x"30308901", + x"4d2b0009", + x"63223030", + x"89014d2b", + x"0009d47c", + x"31408901", + x"4d2b0009", + x"d57a3250", + x"89014d2b", + x"0009e000", + x"402ed175", + x"d277d079", + x"21024127", + x"42230322", + x"30308901", + x"4d2b0009", + x"63223030", + x"89014d2b", + x"0009d46e", + x"31408901", + x"4d2b0009", + x"d56c3250", + x"89014d2b", + x"0009d168", + x"d0716213", + x"72042206", + x"32108901", + x"4d2b0009", + x"63123030", + x"89014d2b", + x"0009d161", + x"90e26213", + x"72022205", + x"32108901", + x"4d2b0009", + x"63113030", + x"89014d2b", + x"0009d15a", + x"e0aa6213", + x"72012204", + x"32108901", + x"4d2b0009", + x"63103030", + x"89014d2b", + x"0009d153", + x"d05c2102", + x"62136326", + x"71043210", + x"89014d2b", + x"00093030", + x"89014d2b", + x"0009d14c", + x"90b82101", + x"62136325", + x"71023210", + x"89014d2b", + x"00093030", + x"89014d2b", + x"0009d145", + x"e0aa2100", + x"62136324", + x"71013210", + x"89014d2b", + x"00093030", + x"89014d2b", + x"0009d13e", + x"d0472102", + x"61163010", + x"89014d2b", + x"0009d13a", + x"90942101", + x"61153010", + x"89014d2b", + x"0009d136", + x"e0aa2100", + x"61143010", + x"89014d2b", + x"0009d232", + x"d1382212", + x"d837d938", + x"da386022", + x"38008901", + x"4d2b0009", + x"60213900", + x"89014d2b", + x"00096020", + x"3a008901", + x"4d2b0009", + x"d027e1aa", + x"20107001", + x"e1bb2010", + x"7001d131", + x"2011d82d", + x"d92dda2e", + x"d0216202", + x"38208901", + x"4d2b0009", + x"63013930", + x"89014d2b", + x"00096400", + x"3a408901", + x"4d2b0009", + x"d019d120", + x"d220d31e", + x"20126813", + x"69232983", + x"89014d2b", + x"00093180", + x"89014d2b", + x"00093190", + x"89014d2b", + x"00096402", + x"32408901", + x"4d2b0009", + x"20126833", + x"69232983", + x"8b014d2b", + x"00093380", + x"89014d2b", + x"00093190", + x"89014d2b", + x"00096402", + x"31408901", + x"4d2b0009", + x"a02a0009", + x"00090009", + x"00090009", + x"00090009", + x"00004ac4", + x"00004ac8", + x"00004ad0", + x"00004ad4", + x"01234567", + x"89abcdef", + x"55aa55aa", + x"11223344", + x"00001122", + x"00000011", + x"aabbccdd", + x"ffffaabb", + x"ffffffaa", + x"ffffccdd", + x"000003f3", + x"00010203", + x"04050607", + x"aabb0009", + x"4f26d006", + x"d1042102", + x"000b0009", + x"00090009", + x"00090009", + x"00090009", + x"abcd0000", + x"00000021", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00001f88", + x"e1aac704", + x"402b0009", + x"4d2b0009", + x"4d2b0009", + x"4d2b0009", + x"a002c702", + x"4d2b0009", + x"402b0009", + x"e1554d2b", + x"00096013", + x"88aa8901", + x"4d2b0009", + x"d184411e", + x"d08ac201", + x"c105c00d", + x"e0ff88ff", + x"89014d2b", + x"00095011", + x"d2853020", + x"89014d2b", + x"00098515", + x"d2853020", + x"89014d2b", + x"0009841d", + x"d2833020", + x"89014d2b", + x"0009c601", + x"d27c3020", + x"89014d2b", + x"0009c505", + x"d27c3020", + x"89014d2b", + x"0009c40d", + x"d27a3020", + x"89014d2b", + x"0009d16d", + x"d273d36f", + x"11246032", + x"30208901", + x"4d2b0009", + x"e0ff1102", + x"d06e8114", + x"65137508", + x"84508833", + x"89014d2b", + x"00098451", + x"88448901", + x"4d2b0009", + x"855188ff", + x"89014d2b", + x"0009e0ff", + x"1101d064", + x"80146513", + x"75048450", + x"88448901", + x"4d2b0009", + x"845188ff", + x"89014d2b", + x"00098551", + x"88ff8901", + x"4d2b0009", + x"d156d25a", + x"2122d352", + x"54343240", + x"89014d2b", + x"00098538", + x"d4563040", + x"89014d2b", + x"00097304", + x"843cd454", + x"30408901", + x"4d2b0009", + x"d148d05a", + x"d24e1100", + x"11218410", + x"d345043c", + x"d04d3040", + x"89014d2b", + x"0009d142", + x"d053d248", + x"11001121", + x"8410d33f", + x"043dd046", + x"30408901", + x"4d2b0009", + x"d13bd04d", + x"d2411100", + x"11218410", + x"d338043e", + x"d03e3040", + x"89014d2b", + x"0009d335", + x"8410043e", + x"d03a3040", + x"89014d2b", + x"0009d131", + x"d333d041", + x"d2411100", + x"1121e0ff", + x"1301d231", + x"84140324", + x"65337504", + x"84508867", + x"89014d2b", + x"00098451", + x"88ff8901", + x"4d2b0009", + x"855188ff", + x"89014d2b", + x"0009d123", + x"d325d033", + x"d2331100", + x"1121e0ff", + x"1301d223", + x"84140325", + x"65337504", + x"84508845", + x"89014d2b", + x"00098451", + x"88678901", + x"4d2b0009", + x"855188ff", + x"89014d2b", + x"0009d115", + x"d317d025", + x"d2251100", + x"1121e0ff", + x"1301d215", + x"84140326", + x"65337504", + x"64523420", + x"89014d2b", + x"00098414", + x"d20f0326", + x"65337504", + x"64523420", + x"89014d2b", + x"0009d20b", + x"8414d309", + x"03266533", + x"75046452", + x"34208901", + x"4d2b0009", + x"a02a0009", + x"00090009", + x"00004ac4", + x"00004ac8", + x"00004ad0", + x"00004ad4", + x"01234567", + x"89abcdef", + x"55aa55aa", + x"11223344", + x"00001122", + x"00000011", + x"00003344", + x"00000044", + x"aabbccdd", + x"ffffaabb", + x"ffffffaa", + x"ffffccdd", + x"000003f3", + x"00010203", + x"04050607", + x"aabb0009", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000022", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"dd03a007", + x"00090009", + x"00090009", + x"00090009", + x"00002438", + x"d012620c", + x"640d660e", + x"680fd112", + x"d312d511", + x"d7113210", + x"8b183430", + x"8b163650", + x"8b143870", + x"8b12d00b", + x"620c640d", + x"660e680f", + x"d10bd30c", + x"d50cd70d", + x"32108b07", + x"34308b05", + x"36508b03", + x"38708b01", + x"a0120009", + x"4d2b0009", + x"11223344", + x"aabbccdd", + x"00000044", + x"00003344", + x"000000dd", + x"0000ccdd", + x"ffffffdd", + x"ffffccdd", + x"0008e400", + x"e201662a", + x"89014d2b", + x"0009684a", + x"89014d2b", + x"0009e4ff", + x"e2ff3480", + x"89014d2b", + x"00093260", + x"89014d2b", + x"00090008", + x"e200602a", + x"8b014d2b", + x"00098800", + x"89014d2b", + x"0009e27f", + x"602b8881", + x"89014d2b", + x"0009e280", + x"602bd404", + x"30408901", + x"4d2b0009", + x"a0040009", + x"00090009", + x"00000080", + x"d2e16428", + x"6629d8e3", + x"dae33480", + x"89014d2b", + x"000936a0", + x"89014d2b", + x"0009e2aa", + x"60278855", + x"89014d2b", + x"0009d1d5", + x"e0552100", + x"411b8b01", + x"4d2b0009", + x"601088d5", + x"89014d2b", + x"0009e000", + x"2100411b", + x"89014d2b", + x"00096010", + x"88808901", + x"4d2b0009", + x"e200e60a", + x"326c4610", + x"8bfc6023", + x"88378901", + x"4d2b0009", + x"e07ee27f", + x"302b8b01", + x"4d2b0009", + x"88ff8901", + x"4d2b0009", + x"d0c1e201", + x"302b8901", + x"4d2b0009", + x"d2bd3020", + x"89014d2b", + x"0009d0bb", + x"e2ff302b", + x"89014d2b", + x"0009d2b9", + x"30208901", + x"4d2b0009", + x"0008e001", + x"e102301a", + x"89014d2b", + x"000988ff", + x"89014d2b", + x"00090018", + x"e004e102", + x"301a8b01", + x"4d2b0009", + x"88018901", + x"4d2b0009", + x"e056e17f", + x"301888d7", + x"89014d2b", + x"0009e012", + x"70348846", + x"89014d2b", + x"00097001", + x"88478901", + x"4d2b0009", + x"e0ffe201", + x"302f8b01", + x"4d2b0009", + x"88008901", + x"4d2b0009", + x"d09ce201", + x"302f8901", + x"4d2b0009", + x"d29a3020", + x"89014d2b", + x"0009d098", + x"e2ff302f", + x"89014d2b", + x"0009d294", + x"30208901", + x"4d2b0009", + x"0008e0ff", + x"e101301e", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00090018", + x"e0fde101", + x"301e8b01", + x"4d2b0009", + x"88ff8901", + x"4d2b0009", + x"e059e180", + x"301c88d9", + x"89014d2b", + x"0009d285", + x"d485224d", + x"d6853260", + x"89014d2b", + x"0009e0aa", + x"e255202a", + x"c8008901", + x"4d2b0009", + x"e0aae277", + x"202a88dd", + x"89014d2b", + x"0009e0aa", + x"ca5588ff", + x"89014d2b", + x"0009e0aa", + x"ca7788dd", + x"89014d2b", + x"0009d171", + x"411ee0aa", + x"8017e007", + x"ce558417", + x"88ff8901", + x"4d2b0009", + x"e0aa8017", + x"e007ce77", + x"841788dd", + x"89014d2b", + x"0009e2aa", + x"e4552248", + x"00298801", + x"89014d2b", + x"0009e2aa", + x"e45d2248", + x"00298800", + x"89014d2b", + x"0009e0aa", + x"c8550029", + x"88018901", + x"4d2b0009", + x"e0aac8d5", + x"00298800", + x"89014d2b", + x"0009d158", + x"411ee0aa", + x"8019e009", + x"0008cc55", + x"89014d2b", + x"0009e0aa", + x"801be00b", + x"0018ccd5", + x"8b014d2b", + x"0009e000", + x"e1ff2019", + x"88008901", + x"4d2b0009", + x"e0aae155", + x"20198800", + x"89014d2b", + x"0009e07e", + x"e1db2019", + x"885a8901", + x"4d2b0009", + x"e000c9ff", + x"88008901", + x"4d2b0009", + x"e0aac955", + x"88008901", + x"4d2b0009", + x"e07ec9db", + x"885a8901", + x"4d2b0009", + x"d13b411e", + x"e0008017", + x"e007cdff", + x"84178800", + x"89014d2b", + x"0009e0aa", + x"8017e007", + x"cd558417", + x"88008901", + x"4d2b0009", + x"e07e8017", + x"e007cddb", + x"8417885a", + x"89014d2b", + x"0009e000", + x"e1ff201b", + x"88ff8901", + x"4d2b0009", + x"e0aae155", + x"201b88ff", + x"89014d2b", + x"0009e055", + x"e15a201b", + x"885f8901", + x"4d2b0009", + x"e000cbff", + x"92523020", + x"89014d2b", + x"0009e0aa", + x"cb55924c", + x"30208901", + x"4d2b0009", + x"e055cb5a", + x"885f8901", + x"4d2b0009", + x"d118411e", + x"e0008017", + x"e007cfff", + x"841788ff", + x"89014d2b", + x"0009e0aa", + x"8017e007", + x"cf558417", + x"88ff8901", + x"4d2b0009", + x"e0558017", + x"e007cf5a", + x"8417885f", + x"89014d2b", + x"00090018", + x"00298801", + x"89014d2b", + x"00090008", + x"00298800", + x"89014d2b", + x"0009a019", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"00004ac4", + x"7fffffff", + x"80000000", + x"00112233", + x"44556677", + x"66770011", + x"00113322", + x"22330011", + x"00ffffff", + x"d003d102", + x"2102000b", + x"00090009", + x"abcd0000", + x"00000031", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"000028ac", + x"d1b46216", + x"420e6316", + x"43006416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164320", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43016416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164321", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43046416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164324", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43056416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164325", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43086416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164318", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43286416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164309", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"00096216", + x"420e6316", + x"43196416", + x"65160602", + x"35308901", + x"4d2b0009", + x"36408901", + x"4d2b0009", + x"6216420e", + x"63164329", + x"64166516", + x"06023530", + x"89014d2b", + x"00093640", + x"89014d2b", + x"0009d3a8", + x"d4a62432", + x"e0006216", + x"6316400e", + x"423d0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423d0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423d0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423d0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"00096216", + x"6316400e", + x"423c0002", + x"65163520", + x"89014d2b", + x"00098800", + x"89014d2b", + x"0009a0b3", + x"00090009", + x"00090009", + x"00090009", + x"00002734", + x"00000000", + x"aaaaaaab", + x"00000001", + x"55555556", + x"00000001", + x"55555557", + x"00000000", + x"aaaaaaae", + x"00000001", + x"eaaaaaaa", + x"00000000", + x"75555555", + x"00000001", + x"aaaaaaaa", + x"00000000", + x"d5555555", + x"00000000", + x"aaaaaaab", + x"00000001", + x"55555557", + x"00000000", + x"aaaaaaab", + x"00000001", + x"55555556", + x"00000000", + x"d5555555", + x"00000001", + x"eaaaaaaa", + x"00000000", + x"d5555555", + x"00000001", + x"6aaaaaaa", + x"00000001", + x"12345678", + x"00000001", + x"48d159e0", + x"00000001", + x"12345678", + x"00000001", + x"34567800", + x"00000001", + x"12345678", + x"00000001", + x"56780000", + x"00000000", + x"12345678", + x"00000000", + x"048d159e", + x"00000000", + x"12345678", + x"00000000", + x"00123456", + x"00000000", + x"12345678", + x"00000000", + x"00001234", + x"a55a5aa5", + x"00000003", + x"2ad2d528", + x"a55a5aa5", + x"ffffffeb", + x"0000052a", + x"a55a5aa5", + x"ffffffe0", + x"00000000", + x"255a5aa5", + x"ffffffe0", + x"00000000", + x"0aa5a55a", + x"00000003", + x"552d2ad0", + x"0aa5a55a", + x"00000004", + x"aa5a55a0", + x"5aa5a55a", + x"fffffffd", + x"0b54b4ab", + x"a55a5aa5", + x"fffffff6", + x"ffe95696", + x"a55a5aa5", + x"ffffffe0", + x"ffffffff", + x"255a5aa5", + x"ffffffe0", + x"00000000", + x"4f26d006", + x"d1032102", + x"000b0009", + x"00090009", + x"00090009", + x"abcd0000", + x"00000032", + x"00000033", + x"d004d104", + x"affc0009", + x"00090009", + x"00090009", + x"00090009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00002c98", + x"e000400e", + x"e0020028", + x"d126d227", + x"012f400e", + x"030a041a", + x"d5256656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009e000", + x"400e012f", + x"0028012f", + x"012f012f", + x"012f041a", + x"030a6656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009a02d", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"01234567", + x"fffffffd", + x"00000002", + x"00000003", + x"00000004", + x"00000005", + x"89abcdef", + x"00000002", + x"00000006", + x"00000007", + x"00000008", + x"00000009", + x"ff795e36", + x"c94e4629", + x"00000000", + x"0000006e", + x"00002940", + x"00002958", + x"00002970", + x"d1b4d2b5", + x"d3b5d4b6", + x"d5b6d6b7", + x"d7b7d8b8", + x"6016400e", + x"6026400a", + x"6036401a", + x"045f090a", + x"0a1a6066", + x"39008901", + x"4d2b0009", + x"60763a00", + x"89014d2b", + x"000978ff", + x"481589e9", + x"a15a0009", + x"00090009", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00007fff", + x"ffff8000", + x"00007fff", + x"ffff8000", + x"0007ffff", + x"fff80000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"00000000", + x"00000001", + x"7fffffff", + x"ffffffff", + x"7fffffff", + x"00000001", + x"80000000", + x"ffffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000000", + x"7fffffff", + x"00000001", + x"7fffffff", + x"ffffffff", + x"80000000", + x"00000001", + x"80000000", + x"ffffffff", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"00000001", + x"ffffffff", + x"00000001", + x"ffffffff", + x"00000001", + x"ffffffff", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000000", + x"00000000", + x"3fffffff", + x"40000000", + x"00007fff", + x"00007fff", + x"c0000000", + x"c0000000", + x"ffff8000", + x"ffff8000", + x"00008000", + x"ffff7fff", + x"00007fff", + x"ffff8000", + x"00007fff", + x"ffff8000", + x"00000000", + x"7fffffff", + x"7fffffff", + x"80000001", + x"80000001", + x"80000000", + x"80000000", + x"80000000", + x"80000000", + x"00000001", + x"00000000", + x"ffffffff", + x"ffffffff", + x"80000000", + x"80000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"00090009", + x"00090009", + x"00090009", + x"000029d0", + x"00002a2c", + x"00002a88", + x"00002ae4", + x"00002b40", + x"00002b9c", + x"00002bf8", + x"00000017", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000041", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00002db8", + x"d110d211", + x"e0ff400a", + x"212e221e", + x"212e221e", + x"63166416", + x"65166616", + x"234e030a", + x"041a3350", + x"89014d2b", + x"00093460", + x"89014d2b", + x"00093120", + x"8beea057", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"00002d10", + x"00002da0", + x"00090009", + x"00090009", + x"ffff0002", + x"ffff0003", + x"ffffffff", + x"00000006", + x"ffff1234", + x"00009abc", + x"ffffffff", + x"0b00a630", + x"ffff0001", + x"0000ffff", + x"ffffffff", + x"0000ffff", + x"0000ffff", + x"ffff0001", + x"ffffffff", + x"0000ffff", + x"ffff7fff", + x"00008000", + x"ffffffff", + x"3fff8000", + x"00008000", + x"ffff7fff", + x"ffffffff", + x"3fff8000", + x"1234ffff", + x"5678ffff", + x"ffffffff", + x"fffe0001", + x"9abc7fff", + x"9abc7fff", + x"ffffffff", + x"3fff0001", + x"12348000", + x"12348000", + x"ffffffff", + x"40000000", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000042", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00002ed8", + x"d110d211", + x"e0ff400a", + x"212f221f", + x"212f221f", + x"63166416", + x"65166616", + x"234f030a", + x"041a3350", + x"89014d2b", + x"00093460", + x"89014d2b", + x"00093120", + x"8beea057", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"00002e30", + x"00002ec0", + x"00090009", + x"00090009", + x"ffff0002", + x"ffff0003", + x"ffffffff", + x"00000006", + x"ffff1234", + x"00009abc", + x"ffffffff", + x"f8cca630", + x"ffff0001", + x"0000ffff", + x"ffffffff", + x"ffffffff", + x"0000ffff", + x"ffff0001", + x"ffffffff", + x"ffffffff", + x"ffff7fff", + x"00008000", + x"ffffffff", + x"c0008000", + x"00008000", + x"ffff7fff", + x"ffffffff", + x"c0008000", + x"1234ffff", + x"5678ffff", + x"ffffffff", + x"00000001", + x"9abc7fff", + x"9abc7fff", + x"ffffffff", + x"3fff0001", + x"12348000", + x"12348000", + x"ffffffff", + x"40000000", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000043", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00002ff8", + x"d110d211", + x"e0ff400a", + x"01270217", + x"01270217", + x"63166416", + x"65166616", + x"0347030a", + x"041a3350", + x"89014d2b", + x"00093460", + x"89014d2b", + x"00093120", + x"8beea057", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"00002f50", + x"00002fe0", + x"00090009", + x"00090009", + x"00000002", + x"00000003", + x"ffffffff", + x"00000006", + x"12345678", + x"9abcdef0", + x"ffffffff", + x"242d2080", + x"00000001", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000001", + x"ffffffff", + x"ffffffff", + x"7fffffff", + x"80000000", + x"ffffffff", + x"80000000", + x"80000000", + x"7fffffff", + x"ffffffff", + x"80000000", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000001", + x"7fffffff", + x"7fffffff", + x"ffffffff", + x"00000001", + x"80000000", + x"80000000", + x"ffffffff", + x"00000000", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000044", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00003108", + x"d10cd20d", + x"31253215", + x"31253215", + x"63166416", + x"65166616", + x"3345030a", + x"041a3350", + x"89014d2b", + x"00093460", + x"89014d2b", + x"00093120", + x"8beea051", + x"00090009", + x"00003060", + x"000030f0", + x"00090009", + x"00090009", + x"00000002", + x"00000003", + x"00000000", + x"00000006", + x"12345678", + x"9abcdef0", + x"0b00ea4e", + x"242d2080", + x"00000001", + x"ffffffff", + x"00000000", + x"ffffffff", + x"ffffffff", + x"00000001", + x"00000000", + x"ffffffff", + x"7fffffff", + x"80000000", + x"3fffffff", + x"80000000", + x"80000000", + x"7fffffff", + x"3fffffff", + x"80000000", + x"ffffffff", + x"ffffffff", + x"fffffffe", + x"00000001", + x"7fffffff", + x"7fffffff", + x"3fffffff", + x"00000001", + x"80000000", + x"80000000", + x"40000000", + x"00000000", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000045", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00003218", + x"d10cd20d", + x"312d321d", + x"312d321d", + x"63166416", + x"65166616", + x"334d030a", + x"041a3350", + x"89014d2b", + x"00093460", + x"89014d2b", + x"00093120", + x"8beea051", + x"00090009", + x"00003170", + x"00003200", + x"00090009", + x"00090009", + x"00000002", + x"00000003", + x"00000000", + x"00000006", + x"12345678", + x"9abcdef0", + x"f8cc93d6", + x"242d2080", + x"00000001", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000001", + x"ffffffff", + x"ffffffff", + x"7fffffff", + x"80000000", + x"c0000000", + x"80000000", + x"80000000", + x"7fffffff", + x"c0000000", + x"80000000", + x"ffffffff", + x"ffffffff", + x"00000000", + x"00000001", + x"7fffffff", + x"7fffffff", + x"3fffffff", + x"00000001", + x"80000000", + x"80000000", + x"40000000", + x"00000000", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000046", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"000039b8", + x"d42cd52d", + x"e0032401", + x"7402e006", + x"240174fe", + x"0028e0ff", + x"400a444f", + x"45026052", + x"88ff8901", + x"4d2b0009", + x"001a8812", + x"89014d2b", + x"0009d421", + x"d521e004", + x"24017402", + x"e0082401", + x"74fe0028", + x"e0ff400a", + x"444f4512", + x"60528820", + x"89014d2b", + x"0009000a", + x"88ff8901", + x"4d2b0009", + x"d415e004", + x"24017402", + x"e0062401", + x"74fe0028", + x"444f000a", + x"88008901", + x"4d2b0009", + x"001a8818", + x"89014d2b", + x"0009d40c", + x"e0072401", + x"7402e008", + x"240174fe", + x"0028444f", + x"001a8838", + x"89014d2b", + x"0009000a", + x"88008901", + x"4d2b0009", + x"a0080009", + x"00090009", + x"00090009", + x"00004ac4", + x"00004ad4", + x"e055d4e1", + x"7404e108", + x"e209400a", + x"401a4412", + x"212e000a", + x"88558901", + x"4d2b0009", + x"001a8848", + x"89014d2b", + x"0009e0aa", + x"d4d77404", + x"e107e2f8", + x"400a401a", + x"4412212f", + x"000a88aa", + x"89014d2b", + x"0009001a", + x"88c88901", + x"4d2b0009", + x"e055d4ce", + x"7404e106", + x"e207400a", + x"401a4412", + x"0127000a", + x"88558901", + x"4d2b0009", + x"001a882a", + x"89014d2b", + x"0009e0aa", + x"d4c4e105", + x"e206400a", + x"401a4412", + x"3125000a", + x"88008901", + x"4d2b0009", + x"001a881e", + x"89014d2b", + x"0009e0aa", + x"d4bbe105", + x"e2fa400a", + x"401a4412", + x"312d000a", + x"88ff8901", + x"4d2b0009", + x"001a88e2", + x"89014d2b", + x"0009e1ff", + x"d4b27404", + x"2412410a", + x"e000400e", + x"411a4412", + x"044f000a", + x"88008901", + x"4d2b0009", + x"001a8800", + x"89014d2b", + x"0009e1ff", + x"d4a87404", + x"410ae000", + x"400e411a", + x"4412444f", + x"000a8800", + x"89014d2b", + x"0009001a", + x"88008901", + x"4d2b0009", + x"e0aad49f", + x"7404401a", + x"6543e155", + x"25124412", + x"4516001a", + x"88558901", + x"4d2b0009", + x"604688aa", + x"89014d2b", + x"00096046", + x"88558901", + x"4d2b0009", + x"e0aae155", + x"d4927404", + x"401a4412", + x"411a001a", + x"88558901", + x"4d2b0009", + x"604688aa", + x"89014d2b", + x"0009e055", + x"d48a7404", + x"401a4412", + x"0028001a", + x"88008901", + x"4d2b0009", + x"60468855", + x"89014d2b", + x"0009e0aa", + x"d4827408", + x"401a4412", + x"4412011a", + x"30108901", + x"4d2b0009", + x"62463020", + x"89014d2b", + x"00096346", + x"30308901", + x"4d2b0009", + x"e0aad478", + x"7404401a", + x"4412011a", + x"30108901", + x"4d2b0009", + x"62423020", + x"89014d2b", + x"0009e055", + x"d4707404", + x"e108e209", + x"400a4402", + x"212e000a", + x"88558901", + x"4d2b0009", + x"001a8848", + x"89014d2b", + x"0009e0aa", + x"d4677404", + x"e107e2f8", + x"400a4402", + x"212f000a", + x"88aa8901", + x"4d2b0009", + x"001a88c8", + x"89014d2b", + x"0009e055", + x"d45e7404", + x"e106e207", + x"400a4402", + x"0127000a", + x"88558901", + x"4d2b0009", + x"001a882a", + x"89014d2b", + x"0009e0aa", + x"d455e105", + x"e206400a", + x"44023125", + x"000a8800", + x"89014d2b", + x"0009001a", + x"881e8901", + x"4d2b0009", + x"e0aad44d", + x"e105e2fa", + x"400a4402", + x"312d000a", + x"88ff8901", + x"4d2b0009", + x"001a88e2", + x"89014d2b", + x"0009e1ff", + x"d4447404", + x"2412410a", + x"e000400e", + x"401a4402", + x"044f000a", + x"88ff8901", + x"4d2b0009", + x"001a8801", + x"89014d2b", + x"0009e1ff", + x"d43a7404", + x"410ae000", + x"400e401a", + x"4402444f", + x"000a88ff", + x"89014d2b", + x"0009001a", + x"88018901", + x"4d2b0009", + x"e0aad431", + x"7404400a", + x"6543e155", + x"25124402", + x"4506000a", + x"88558901", + x"4d2b0009", + x"604688aa", + x"89014d2b", + x"00096046", + x"88558901", + x"4d2b0009", + x"e0aae155", + x"d4247404", + x"400a4402", + x"410a000a", + x"88558901", + x"4d2b0009", + x"604688aa", + x"89014d2b", + x"0009e055", + x"d41c7404", + x"400a4402", + x"0028000a", + x"88008901", + x"4d2b0009", + x"60468855", + x"89014d2b", + x"0009e0aa", + x"d4147408", + x"400a4402", + x"4402010a", + x"30108901", + x"4d2b0009", + x"62463020", + x"89014d2b", + x"00096346", + x"30308901", + x"4d2b0009", + x"e0aad40a", + x"7404400a", + x"4402010a", + x"30108901", + x"4d2b0009", + x"62423020", + x"89014d2b", + x"0009a009", + x"00090009", + x"00090009", + x"00090009", + x"00004ac4", + x"00004ad4", + x"e055d4c1", + x"2402e108", + x"e209400a", + x"4416212e", + x"000a8855", + x"89014d2b", + x"0009001a", + x"88488901", + x"4d2b0009", + x"e0aad4b8", + x"2402e107", + x"e2f8400a", + x"4416212f", + x"000a88aa", + x"89014d2b", + x"0009001a", + x"88c88901", + x"4d2b0009", + x"e055d4af", + x"2402e106", + x"e207400a", + x"44160127", + x"000a8855", + x"89014d2b", + x"0009001a", + x"882a8901", + x"4d2b0009", + x"e0aad4a6", + x"2402e105", + x"e206400a", + x"44163125", + x"000a8800", + x"89014d2b", + x"0009001a", + x"881e8901", + x"4d2b0009", + x"e0aad49d", + x"2402e105", + x"e2fa400a", + x"4416312d", + x"000a88ff", + x"89014d2b", + x"0009001a", + x"88e28901", + x"4d2b0009", + x"e000e104", + x"e205d493", + x"24027404", + x"24127404", + x"2422d490", + x"400a400e", + x"4416044f", + x"000a8800", + x"89014d2b", + x"0009001a", + x"88148901", + x"4d2b0009", + x"e000e104", + x"e205d487", + x"24027404", + x"24117402", + x"2421d484", + x"400a400e", + x"4416444f", + x"000a8800", + x"89014d2b", + x"0009001a", + x"88148901", + x"4d2b0009", + x"d47ce0aa", + x"24026543", + x"7504e055", + x"25024416", + x"4516001a", + x"88558901", + x"4d2b0009", + x"d475e055", + x"2402e1aa", + x"4416411a", + x"021a3120", + x"89014d2b", + x"0009d470", + x"e0552402", + x"e1004416", + x"0028021a", + x"31208901", + x"4d2b0009", + x"d46ae055", + x"2402d56a", + x"44164512", + x"60568855", + x"89014d2b", + x"0009d465", + x"e0aa2402", + x"4416001a", + x"88aa8901", + x"4d2b0009", + x"e055d460", + x"2402e108", + x"e2094406", + x"212e000a", + x"88558901", + x"4d2b0009", + x"001a8848", + x"89014d2b", + x"0009e0aa", + x"d4572402", + x"e107e2f8", + x"4406212f", + x"000a88aa", + x"89014d2b", + x"0009001a", + x"88c88901", + x"4d2b0009", + x"e055d44f", + x"2402e106", + x"e2074406", + x"0127000a", + x"88558901", + x"4d2b0009", + x"001a882a", + x"89014d2b", + x"0009e0aa", + x"d4462402", + x"e105e206", + x"44063125", + x"000a8800", + x"89014d2b", + x"0009001a", + x"881e8901", + x"4d2b0009", + x"e0aad43e", + x"2402e105", + x"e2fa4406", + x"312d000a", + x"88ff8901", + x"4d2b0009", + x"001a88e2", + x"89014d2b", + x"0009e000", + x"e104e205", + x"d4342402", + x"74042412", + x"74042422", + x"d431401a", + x"400e4406", + x"044f000a", + x"88008901", + x"4d2b0009", + x"001a8814", + x"89014d2b", + x"0009e000", + x"e104e205", + x"d4282402", + x"74042411", + x"74022421", + x"d425401a", + x"400e4406", + x"444f000a", + x"88008901", + x"4d2b0009", + x"001a8814", + x"89014d2b", + x"0009d41e", + x"e0aa2402", + x"65437504", + x"e0552502", + x"44064506", + x"000a8855", + x"89014d2b", + x"0009d417", + x"e0552402", + x"e1aa4406", + x"410a020a", + x"31208901", + x"4d2b0009", + x"d411e055", + x"2402e100", + x"44060028", + x"020a3120", + x"89014d2b", + x"0009d40c", + x"e0552402", + x"d50b4406", + x"45026056", + x"88558901", + x"4d2b0009", + x"d406e0aa", + x"24024406", + x"000a88aa", + x"89014d2b", + x"0009a007", + x"00090009", + x"00090009", + x"00004ac4", + x"00004ad4", + x"4f26d006", + x"d1042102", + x"000b0009", + x"00090009", + x"00090009", + x"00090009", + x"abcd0000", + x"00000047", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00003bc8", + x"d9646196", + x"60960019", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"41246296", + x"31208901", + x"4d2b0009", + x"61966296", + x"60960019", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42246396", + x"32308901", + x"4d2b0009", + x"61966096", + x"21073104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31043104", + x"31046296", + x"31208901", + x"4d2b0009", + x"61966296", + x"60962107", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42243104", + x"42246396", + x"32308901", + x"4d2b0009", + x"a0200009", + x"00090009", + x"00003b74", + x"71c638e4", + x"aaaa0000", + x"aaacaaaa", + x"0b00ea4e", + x"242d2080", + x"9abcdef0", + x"12345678", + x"fffffeff", + x"00100000", + x"000ffff7", + x"ffffffff", + x"db97530f", + x"fffffffe", + x"12345678", + x"4f26d005", + x"d1032102", + x"000b0009", + x"00090009", + x"00090009", + x"abcd0000", + x"00000051", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00003f38", + x"e000400e", + x"e0020028", + x"d122d223", + x"412f400e", + x"030a041a", + x"d5216656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009e000", + x"400e412f", + x"0028412f", + x"412f412f", + x"412f041a", + x"030a6656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009a025", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"1234fffd", + x"00020003", + x"00040005", + x"abcd0002", + x"00060007", + x"00080009", + x"ffffffff", + x"fa034fa4", + x"00000000", + x"0000006e", + x"00090009", + x"00090009", + x"00003c50", + x"00003c5c", + x"00003c68", + x"d19cd29d", + x"d39dd49e", + x"d59ed69f", + x"d79fd8a0", + x"6016400e", + x"6026400a", + x"6036401a", + x"445f090a", + x"0a1a6066", + x"39008901", + x"4d2b0009", + x"60763a00", + x"89014d2b", + x"000978ff", + x"481589e9", + x"a12a0009", + x"00090009", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000006", + x"0000000a", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"00000001", + x"7fffffff", + x"7fff0001", + x"8000ffff", + x"80007fff", + x"80007fff", + x"80007fff", + x"80007fff", + x"80000001", + x"00010001", + x"00010001", + x"00010000", + x"7fff0001", + x"7fffffff", + x"80000001", + x"8000ffff", + x"7fff8000", + x"7fff8000", + x"80007fff", + x"80007fff", + x"0001ffff", + x"0001ffff", + x"0001ffff", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"00000001", + x"ffffffff", + x"00000007", + x"0000000b", + x"00000000", + x"00007fff", + x"00007fff", + x"ffff8001", + x"ffff8001", + x"ffff8000", + x"ffff8000", + x"00008000", + x"00008000", + x"3fff0001", + x"40000000", + x"3fff0001", + x"40000000", + x"c0008000", + x"c0008000", + x"c0008000", + x"c0008000", + x"80000000", + x"7fffffff", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"00090009", + x"00090009", + x"00003cd0", + x"00003d2c", + x"00003d88", + x"00003de4", + x"00003e12", + x"00003e40", + x"00003e9c", + x"00000017", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000061", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", + x"4f22dd01", + x"a0020009", + x"00004318", + x"e000400e", + x"e0020028", + x"d126d227", + x"012f400e", + x"030a041a", + x"d5256656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009e000", + x"400e012f", + x"0028012f", + x"012f012f", + x"012f041a", + x"030a6656", + x"67563360", + x"89014d2b", + x"00093470", + x"89014d2b", + x"0009a02d", + x"00090009", + x"00090009", + x"00090009", + x"00090009", + x"01234567", + x"fffffffd", + x"00000002", + x"00000003", + x"00000004", + x"00000005", + x"89abcdef", + x"00000002", + x"00000006", + x"00000007", + x"00000008", + x"00000009", + x"ff795e36", + x"c94e4629", + x"00000000", + x"0000006e", + x"00003fc0", + x"00003fd8", + x"00003ff0", + x"d1b4d2b5", + x"d3b5d4b6", + x"d5b6d6b7", + x"d7b7d8b8", + x"6016400e", + x"6026400a", + x"6036401a", + x"045f090a", + x"0a1a6066", + x"39008901", + x"4d2b0009", + x"60763a00", + x"89014d2b", + x"000978ff", + x"481589e9", + x"a15a0009", + x"00090009", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000002", + x"00000002", + x"00000002", + x"00000002", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00007fff", + x"ffff8000", + x"00007fff", + x"ffff8000", + x"0007ffff", + x"fff80000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"00000000", + x"00000001", + x"7fffffff", + x"ffffffff", + x"7fffffff", + x"00000001", + x"80000000", + x"ffffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000001", + x"00000000", + x"7fffffff", + x"00000001", + x"7fffffff", + x"ffffffff", + x"80000000", + x"00000001", + x"80000000", + x"ffffffff", + x"7fffffff", + x"80000000", + x"7fffffff", + x"80000000", + x"80000000", + x"7fffffff", + x"80000000", + x"7fffffff", + x"00000001", + x"ffffffff", + x"00000001", + x"ffffffff", + x"00000001", + x"ffffffff", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"ffffffff", + x"00000000", + x"00000000", + x"3fffffff", + x"40000000", + x"00007fff", + x"00007fff", + x"c0000000", + x"c0000000", + x"ffff8000", + x"ffff8000", + x"00008000", + x"ffff7fff", + x"00007fff", + x"ffff8000", + x"00007fff", + x"ffff8000", + x"00000000", + x"7fffffff", + x"7fffffff", + x"80000001", + x"80000001", + x"80000000", + x"80000000", + x"80000000", + x"80000000", + x"00000001", + x"00000000", + x"ffffffff", + x"ffffffff", + x"80000000", + x"80000000", + x"00000000", + x"00000000", + x"00000000", + x"ffffffff", + x"ffffffff", + x"00000000", + x"ffffffff", + x"00000000", + x"00090009", + x"00090009", + x"00090009", + x"00004050", + x"000040ac", + x"00004108", + x"00004164", + x"000041c0", + x"0000421c", + x"00004278", + x"00000017", + x"4f26d004", + x"d1022102", + x"000b0009", + x"00090009", + x"abcd0000", + x"00000062", + x"d001d101", + x"affc0009", + x"88888888", + x"00090009", + x"00090009", + x"00090009", x"614b2419", x"d11b3416", x"8d0e4f22", @@ -1359,8 +4336,8 @@ package bootrom is x"000b0009", x"00ff0009", x"0000ffff", - x"00001534", - x"000019fc", + x"000043b8", + x"00004880", x"00ffffff", x"60530009", x"c91f4008", @@ -1440,11 +4417,11 @@ package bootrom is x"00000006", x"00000007", x"00000007", - x"00001618", - x"00001620", - x"00001628", - x"00001630", - x"00001638", + x"0000449c", + x"000044a4", + x"000044ac", + x"000044b4", + x"000044bc", x"4c434420", x"696e6974", x"0a000000", @@ -1501,54 +4478,54 @@ package bootrom is x"2a000000", x"2d000000", x"2b000000", - x"000016b8", - x"000016b4", - x"000016bc", - x"000016b8", - x"000016c0", - x"000016c4", - x"000016c8", - x"000016b8", - x"000016d0", - x"000016d8", - x"000016dc", - x"000016e0", - x"000016b8", - x"000016b8", - x"000016b8", - x"000016b8", - x"000016b8", - x"000016e8", - x"000016f0", - x"000016f8", - x"000016fc", - x"00001700", - x"00001704", - x"00001708", - x"000016b8", - x"0000170c", - x"00001710", - x"00001714", - x"00001718", - x"0000171c", - x"00001720", - x"00001724", - x"000016b8", - x"00001728", - x"0000172c", - x"00001730", - x"00001734", - x"00001738", - x"0000173c", - x"00001740", - x"000016b8", - x"00001744", - x"00001748", - x"0000174c", - x"00001610", - x"00001750", - x"00001754", - x"00001758", + x"0000453c", + x"00004538", + x"00004540", + x"0000453c", + x"00004544", + x"00004548", + x"0000454c", + x"0000453c", + x"00004554", + x"0000455c", + x"00004560", + x"00004564", + x"0000453c", + x"0000453c", + x"0000453c", + x"0000453c", + x"0000453c", + x"0000456c", + x"00004574", + x"0000457c", + x"00004580", + x"00004584", + x"00004588", + x"0000458c", + x"0000453c", + x"00004590", + x"00004594", + x"00004598", + x"0000459c", + x"000045a0", + x"000045a4", + x"000045a8", + x"0000453c", + x"000045ac", + x"000045b0", + x"000045b4", + x"000045b8", + x"000045bc", + x"000045c0", + x"000045c4", + x"0000453c", + x"000045c8", + x"000045cc", + x"000045d0", + x"00004494", + x"000045d4", + x"000045d8", + x"000045dc", x"00000000", x"0000005f", x"00000007", @@ -1740,17 +4717,17 @@ package bootrom is x"616e6765", x"7365743a", x"20202033", - x"313a3630", - x"36616333", - x"63333235", - x"61620a62", + x"343a6631", + x"38323333", + x"31613035", + x"66300a62", x"75696c64", - x"3a205475", - x"65204170", - x"72202032", - x"2032313a", - x"30333a35", - x"38204544", + x"3a205375", + x"6e205365", + x"70203232", + x"2032333a", + x"30343a32", + x"34204544", x"54203230", x"31390a00", x"40a1c0a6", diff --git a/testrom/Makefile b/testrom/Makefile index 32eb769..7479b1f 100644 --- a/testrom/Makefile +++ b/testrom/Makefile @@ -4,7 +4,7 @@ OBJS += uartlite.o #OBJS += uart16550.o TESTS_OBJS := testbra.o -TESTS_OBJS += testmov.o testmov2.o testmov3.o +TESTS_OBJS += testmov.o testmov2.o TESTS_OBJS += testalu.o TESTS_OBJS += testshift.o TESTS_OBJS += testmul.o testmulu.o testmuls.o testmull.o testdmulu.o testdmuls.o testmulconf.o @@ -21,7 +21,8 @@ LDFLAGS = -T startup/sh32.x -Map main.map LIBGCC = $(shell $(CC) -print-libgcc-file-name) # CFLAGS := -mj2 -g -Os -Wall -CFLAGS := -DNO_DDR -DNO_TESTS -mj2 -g -Os -Wall +#CFLAGS := -DNO_DDR -DNO_TESTS -mj2 -g -Os -Wall +CFLAGS := -DNO_DDR -mj2 -g -Os -Wall DDR ?= ddr16 ifeq ($(DDR), lpddr) diff --git a/testrom/entry.c b/testrom/entry.c index db641e1..8fe64cc 100644 --- a/testrom/entry.c +++ b/testrom/entry.c @@ -170,9 +170,6 @@ __asm__( " mov.l testmov2_k, r0\n" " jsr @r0\n" " nop\n" -" mov.l testmov3_k, r0\n" -" jsr @r0\n" -" nop\n" " mov.l testalu_k, r0\n" " jsr @r0\n" " nop\n" @@ -241,7 +238,6 @@ __asm__( "testbra_k: .long _testbra\n" "testmov_k: .long _testmov\n" "testmov2_k: .long _testmov2\n" -"testmov3_k: .long _testmov3\n" "testalu_k: .long _testalu\n" "testshift_k: .long _testshift\n" "testmul_k: .long _testmul\n" diff --git a/testrom/main_up5k_42s.c b/testrom/main_up5k_42s.c index 9133b36..454b262 100644 --- a/testrom/main_up5k_42s.c +++ b/testrom/main_up5k_42s.c @@ -147,6 +147,10 @@ unsigned char lcd_init[] = { 0x40, 0xA1, 0xC0, 0xA6, 0xA2, 0x2F, 0xF8, 0x00, 0x2 int march(void *base, int addrs, int sz); +#ifndef NO_TESTS +char ram0[256]; +#endif + void main_sh (void) { diff --git a/testrom/startup/sh32.x b/testrom/startup/sh32.x index beb7253..87fe70b 100644 --- a/testrom/startup/sh32.x +++ b/testrom/startup/sh32.x @@ -7,8 +7,8 @@ OUTPUT_ARCH(sh) MEMORY { - ram : o = 0x00000000, l = 0x1d00 - stack : o = 0x00001d00, l = 0x0300 + ram : o = 0x00000000, l = 0x7d00 + stack : o = 0x00007d00, l = 0x0300 } SECTIONS diff --git a/testrom/tests/testmov.s b/testrom/tests/testmov.s index 7dd0cad..44b900f 100644 --- a/testrom/tests/testmov.s +++ b/testrom/tests/testmov.s @@ -541,6 +541,69 @@ _movlwb: jmp @r13 nop +/***************** + CAS Rm, Rn, @R0 + *****************/ +_cas_r: + mov.l _pram0, r0 + mov.l _p11223344, r1 + mov.l _p00001122, r2 + mov.l _p55aa55aa, r3 + mov.l r1, @r0 +!---- + mov r1, r8 + mov r2, r9 +/* cas r8, r9, @r0 */ + .word 0x02983 +!---- check CAS succeeded + bt .+6 + jmp @r13 + nop +!---- check r8 unchanged + cmp/eq r8, r1 + bt .+6 + jmp @r13 + nop +!---- check r9 was set to old @R0 + cmp/eq r9, r1 + bt .+6 + jmp @r13 + nop +!---- check that @R0 was written + mov.l @r0, r4 + cmp/eq r4, r2 + bt .+6 + jmp @r13 + nop +!---- + + mov.l r1, @r0 + mov r3, r8 + mov r2, r9 +/* cas r8, r9, @r0 */ + .word 0x02983 +!---- check CAS failed + bf .+6 + jmp @r13 + nop +!---- check r8 unchanged + cmp/eq r8, r3 + bt .+6 + jmp @r13 + nop +!---- check r9 was set to old @R0 + cmp/eq r9, r1 + bt .+6 + jmp @r13 + nop +!---- check that @R0 unchanged + mov.l @r0, r4 + cmp/eq r4, r1 + bt .+6 + jmp @r13 + nop +!---- + /************** Constant Table **************/ @@ -568,142 +631,6 @@ _paabb : .word 0xaabb .align 2 _constantend: - -/***************** - CAS Rm, Rn, @R0 - *****************/ -_cas_r: - mov.l _pram0_cas, r0 - mov.l _p11223344_cas, r1 - mov.l _p00001122_cas, r2 - mov.l _p55aa55aa_cas, r3 - mov.l r1, @r0 - - mov.l _pram0_4_cas, r4 - mov.l _paabbccdd_cas, r5 - mov.l r5, @r4 -!---- - mov #0, r10 - mov #1, r11 - mov #2, r12 - mov r1, r8 - mov r2, r9 -/* cas.l r8, r9, @r0 */ - .word 0x02983 - -! cas.l had a bug where a subsequent instruction was skipped when the write back happened -! Do some movs to check later - mov #10, r10 - mov #11, r11 - mov #12, r12 - -!---- check CAS succeeded - bt .+6 - jmp @r13 - nop -!---- check r8 unchanged - cmp/eq r8, r1 - bt .+6 - jmp @r13 - nop -!---- check r9 was set to old @R0 - cmp/eq r9, r1 - bt .+6 - jmp @r13 - nop -!---- check that @R0 was written - mov.l @r0, r4 - cmp/eq r4, r2 - bt .+6 - jmp @r13 - nop -!---- check mov instructions after cas set r10, r11, and r12 - mov #10, r7 - cmp/eq r7, r10 - bt .+6 - jmp @r13 - nop - mov #11, r7 - cmp/eq r7, r11 - bt .+6 - jmp @r13 - nop - mov #12, r7 - cmp/eq r7, r12 - bt .+6 - jmp @r13 - nop -!---- - - mov #0, r10 - mov #1, r11 - mov #2, r12 - mov.l r1, @r0 - mov r3, r8 - mov r2, r9 -/* cas.l r8, r9, @r0 */ - .word 0x02983 - -! cas.l had a bug where a subsequent instruction was skipped when the write back happened -! Do some movs to check later - mov #10, r10 - mov #11, r11 - mov #12, r12 - -!---- check CAS failed - bf .+6 - jmp @r13 - nop -!---- check r8 unchanged - cmp/eq r8, r3 - bt .+6 - jmp @r13 - nop -!---- check r9 was set to old @R0 - cmp/eq r9, r1 - bt .+6 - jmp @r13 - nop -!---- check that @R0 unchanged - mov.l @r0, r4 - cmp/eq r4, r1 - bt .+6 - jmp @r13 - nop -!---- check mov instructions after cas set r10, r11, and r12 - mov #10, r7 - cmp/eq r7, r10 - bt .+6 - jmp @r13 - nop - mov #11, r7 - cmp/eq r7, r11 - bt .+6 - jmp @r13 - nop - mov #12, r7 - cmp/eq r7, r12 - bt .+6 - jmp @r13 - nop -!---- - -/************** - Constant Table - Second table because cas tests were pushing previous - constant table past pcrel limit - **************/ - bra _constantend_cas - nop -.align 4 -_pram0_cas : .long _ram0+128 -_pram0_4_cas : .long _ram0+128+4 -_p55aa55aa_cas: .long 0x55aa55aa -_p11223344_cas: .long 0x11223344 -_p00001122_cas: .long 0x00001122 -_paabbccdd_cas: .long 0xaabbccdd -.align 2 -_constantend_cas: - /************** Congratulations **************/ diff --git a/testrom/tests/testmov3.s b/testrom/tests/testmov3.s deleted file mode 100644 index 64829ba..0000000 --- a/testrom/tests/testmov3.s +++ /dev/null @@ -1,119 +0,0 @@ -/************** - Initialization - **************/ -.global _testmov3 -_testmov3: - sts.l pr, @-r15 - mov.l _pfail, r13 !fail address - bra _testgo - nop -_pfail: .long _fail -_testgo: - -/******************** - LDS Rm, CPi_COM - STS CPi_COM, Rn - CLDS CPi_Rm, CPi_COM - CSTS CPi_COM, CPi_Rn - ********************/ - - mov.l _pram0, r1 - ldc r1, gbr -! save pit_throttle -/* clds cpi_r2, cpi_com (4m89) */ - .word 0x4289 -/* sts cpi_com, r0 (4nc8) */ - .word 0x40c8 - mov.l r0, @(4, gbr) -! body - mov.l _p0006ffff, r2 -/* lds r2, cpi_com (4m88) */ - .word 0x4288 -/* csts cpi_com, cpi_r2 (4nc9) */ - .word 0x42c9 - mov #0, r0 -/* lds r0, cpi_com (4m88) */ - .word 0x4088 -/* clds cpi_r2, cpi_com (4m89) */ - .word 0x4289 -/* sts cpi_com, r1 (4nc8) */ - .word 0x41c8 - cmp/eq r1,r2 - bt .+6 - jmp @r13 - nop - -/******************** - LDS Rm, CP0_COM - STS CP0_COM, Rn - CLDS CP0_Rm, CP0_COM - CSTS CP0_COM, CP0_Rn - ********************/ - - mov.l _p00070000, r2 -/* lds r2, cp0_com (4m5a) */ - .word 0x425a -/* csts cp0_com, cp0_r2 (fn0d) */ - .word 0xf20d - mov #0, r0 -/* lds r0, cp0_com (4m5a) */ - .word 0x405a -/* clds cp0_r2, cp0_com (fm1d) */ - .word 0xf21d -/* sts cp0_com, r1 (0n5a) */ - .word 0x015a - cmp/eq r1,r2 -#ifdef AAAAA - bt .+6 -#else - bt .+6 -#endif - jmp @r13 - nop - -! restore pit_throttle - mov.l @(4, gbr), r0 - mov r0, r3 -/* lds r3, cp0_com (4m5a) */ - .word 0x435a -/* csts cp0_com, cp0_r2 (fn0d) */ - .word 0xf20d - -/************** - Constant Table - **************/ - bra _constantend - nop -.align 4 -_pram0 : .long _ram0+128 -_p0006ffff: .long 0x0006ffff -_p00070000: .long 0x00070000 -.align 2 -_constantend: - -/************** - Congratulations - **************/ -_pass: - lds.l @r15+, pr - mov.l _ppass_value, r0 - mov.l _ppass_addr, r1 - mov.l r0, @r1 - rts - nop -.align 4 -_ppass_addr: .long 0xABCD0000 -_ppass_value: .long 0x00000023 - -/********** - You Failed - **********/ -_fail: - mov.l _pfail_value, r0 - mov.l _pfail_value, r1 - bra _fail - nop -.align 4 -_pfail_value: .long 0x88888888 - -.end