1
0
mirror of https://github.com/Gehstock/Mist_FPGA.git synced 2026-01-20 01:34:38 +00:00

TropicalAngel: add original/PAL timing switch

This commit is contained in:
Gyorgy Szombathelyi 2020-03-11 20:41:20 +01:00
parent 5c8743deee
commit 339e7ff0d3
2 changed files with 12 additions and 1 deletions

View File

@ -82,6 +82,9 @@ port(
clock_36 : in std_logic;
clock_0p895 : in std_logic;
reset : in std_logic;
palmode : in std_logic;
-- tv15Khz_mode : in std_logic;
video_r : out std_logic_vector(1 downto 0);
video_g : out std_logic_vector(2 downto 0);
@ -299,7 +302,11 @@ begin
hcnt <= '0'&x"80";
vcnt <= vcnt + 1;
if vcnt = '1'&x"FF" then
vcnt <= '0'&x"E6"; -- from M52 schematics
if palmode = '0' then
vcnt <= '0'&x"E6"; -- from M52 schematics
else
vcnt <= '0'&x"C8";
end if;
end if;
end if;
end if;

View File

@ -51,6 +51,7 @@ module TropicalAngel_MiST(
localparam CONF_STR = {
"TROPANG;ROM;",
"O2,Rotate Controls,Off,On;",
"O1,Video Timing,Original,Pal 50Hz;",
"O34,Scanlines,Off,25%,50%,75%;",
"O5,Blending,Off,On;",
"O6,Flip,Off,On;",
@ -59,6 +60,7 @@ localparam CONF_STR = {
"V,v1.0.",`BUILD_DATE
};
wire palmode = status[1];
wire rotate = status[2];
wire [1:0] scanlines = status[4:3];
wire blend = status[5];
@ -204,6 +206,8 @@ TropicalAngel TropicalAngel(
.clock_0p895 ( clk_aud ),
.reset ( reset ),
.palmode ( palmode ),
.video_r ( r ),
.video_g ( g ),
.video_b ( b ),