mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-22 10:21:02 +00:00
Some Updates
This commit is contained in:
parent
ead0295f70
commit
3645d8e831
@ -1,2 +1,2 @@
|
||||
`define BUILD_DATE "190227"
|
||||
`define BUILD_TIME "185900"
|
||||
`define BUILD_DATE "190304"
|
||||
`define BUILD_TIME "221722"
|
||||
|
||||
@ -21,11 +21,11 @@ module canyon_bomber_mist(
|
||||
`include "rtl\build_id.sv"
|
||||
|
||||
localparam CONF_STR = {
|
||||
"Canyon_B.;;",
|
||||
"Cany.Bomb.;;",
|
||||
"O1,Self_Test,Off,On;",
|
||||
"O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;",
|
||||
"T6,Reset;",
|
||||
"V,v1.10.",`BUILD_DATE
|
||||
"V,v1.20.",`BUILD_DATE
|
||||
};
|
||||
|
||||
wire [31:0] status;
|
||||
@ -38,6 +38,8 @@ wire ypbpr;
|
||||
wire ps2_kbd_clk, ps2_kbd_data;
|
||||
wire [6:0] audio1, audio2;
|
||||
wire [7:0] RGB;
|
||||
wire vb, hb;
|
||||
wire blankn = ~(hb | vb);
|
||||
|
||||
wire clk_24, clk_12, clk_6;
|
||||
wire locked;
|
||||
@ -47,7 +49,7 @@ pll pll(
|
||||
.c1(clk_12),//12.096
|
||||
.c2(clk_6),//6.048
|
||||
.locked(locked)
|
||||
);
|
||||
);
|
||||
|
||||
assign LED = 1;
|
||||
|
||||
@ -60,7 +62,8 @@ canyon_bomber canyon_bomber(
|
||||
.clk_12(clk_12),
|
||||
.Reset_I(~(status[0] | status[6] | buttons[1])),
|
||||
.RGB(RGB),
|
||||
.Sync_O(),
|
||||
.Vblank_O(vb),
|
||||
.HBlank_O(hb),
|
||||
.HSync_O(hs),
|
||||
.VSync_O(vs),
|
||||
.Audio1_O(audio1),
|
||||
@ -75,14 +78,14 @@ canyon_bomber canyon_bomber(
|
||||
.Test_I(~status[1]),
|
||||
.Lamp1_O(),
|
||||
.Lamp2_O()
|
||||
);
|
||||
);
|
||||
|
||||
dac dacl(
|
||||
.CLK(clk_24),
|
||||
.RESET(0),
|
||||
.DACin({audio1,audio2,2'b0}),
|
||||
.DACout(AUDIO_L)
|
||||
);
|
||||
);
|
||||
|
||||
assign AUDIO_R = AUDIO_L;
|
||||
wire hs, vs;
|
||||
@ -109,10 +112,11 @@ video_mixer(
|
||||
.scandoubler_disable(scandoubler_disable),
|
||||
.scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}),
|
||||
.hq2x(status[4:3]==1),
|
||||
.ypbpr(ypbpr),
|
||||
.ypbpr_full(1),
|
||||
.line_start(0),
|
||||
.mono(0)
|
||||
);
|
||||
);
|
||||
|
||||
mist_io #(
|
||||
.STRLEN(($size(CONF_STR)>>3)))
|
||||
@ -133,7 +137,7 @@ mist_io(
|
||||
.joystick_0 (joystick_0 ),
|
||||
.joystick_1 (joystick_1 ),
|
||||
.status (status )
|
||||
);
|
||||
);
|
||||
|
||||
keyboard keyboard(
|
||||
.clk(clk_24),
|
||||
|
||||
@ -0,0 +1,4 @@
|
||||
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
|
||||
set_global_assignment -name IP_TOOL_VERSION "13.1"
|
||||
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"]
|
||||
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]
|
||||
@ -14,11 +14,11 @@
|
||||
// ************************************************************
|
||||
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||||
//
|
||||
// 13.0.1 Build 232 06/12/2013 SP 1 SJ Full Version
|
||||
// 13.1.4 Build 182 03/12/2014 SJ Web Edition
|
||||
// ************************************************************
|
||||
|
||||
|
||||
//Copyright (C) 1991-2013 Altera Corporation
|
||||
//Copyright (C) 1991-2014 Altera Corporation
|
||||
//Your use of Altera Corporation's design tools, logic functions
|
||||
//and other software and tools, and its AMPP partner logic
|
||||
//functions, and any output files from any of the foregoing
|
||||
|
||||
Binary file not shown.
@ -170,10 +170,10 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
|
||||
# end DESIGN_PARTITION(Top)
|
||||
# -------------------------
|
||||
|
||||
# end ENTITY(dominos_mist)
|
||||
# ------------------------
|
||||
# ------------------------
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
@ -1,2 +1,2 @@
|
||||
`define BUILD_DATE "190227"
|
||||
`define BUILD_TIME "192648"
|
||||
`define BUILD_DATE "190304"
|
||||
`define BUILD_TIME "214137"
|
||||
|
||||
@ -25,7 +25,7 @@ localparam CONF_STR = {
|
||||
"O1,Self_Test,Off,On;",
|
||||
"O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;",
|
||||
"T6,Reset;",
|
||||
"V,v1.10.",`BUILD_DATE
|
||||
"V,v1.20.",`BUILD_DATE
|
||||
};
|
||||
|
||||
wire [31:0] status;
|
||||
@ -119,6 +119,7 @@ video_mixer(
|
||||
.scandoubler_disable(scandoubler_disable),
|
||||
.scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}),
|
||||
.hq2x(status[4:3]==1),
|
||||
.ypbpr(ypbpr),
|
||||
.ypbpr_full(1),
|
||||
.line_start(0),
|
||||
.mono(0)
|
||||
|
||||
Binary file not shown.
@ -122,22 +122,16 @@ wire hs, vs;
|
||||
wire [2:0] r, g, b;
|
||||
wire hblank, vblank;
|
||||
wire blankn = ~(hblank | vblank);
|
||||
video_mixer #(
|
||||
.LINE_LENGTH(256),
|
||||
.HALF_DEPTH(1))
|
||||
video_mixer(
|
||||
video_mixer video_mixer(
|
||||
.clk_sys(clk_24),
|
||||
.ce_pix(clk_6),
|
||||
.ce_pix_actual(clk_6),
|
||||
.SPI_SCK(SPI_SCK),
|
||||
.SPI_SS3(SPI_SS3),
|
||||
.SPI_DI(SPI_DI),
|
||||
.R({r,r}),
|
||||
.G({g,g}),
|
||||
.B({b,b}),
|
||||
// .R(blankn?{r,r}:"000000"),
|
||||
// .G(blankn?{g,g}:"000000"),
|
||||
// .B(blankn?{b,b}:"000000"),
|
||||
.R(blankn?{r,r}:0),
|
||||
.G(blankn?{g,g}:0),
|
||||
.B(blankn?{b,b}:0),
|
||||
.HSync(hs),
|
||||
.VSync(vs),
|
||||
.VGA_R(VGA_R),
|
||||
@ -148,7 +142,7 @@ video_mixer(
|
||||
.rotate({1'b0,status[2]}),//(left/right,on/off)
|
||||
.scandoubler_disable(scandoubler_disable),
|
||||
.scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 2'b11, status[4:3] == 2'b10, status[4:3] == 2'b01}),
|
||||
.hq2x(status[4:3]==1),
|
||||
// .hq2x(status[4:3]==1),
|
||||
.ypbpr(ypbpr),
|
||||
.ypbpr_full(1),
|
||||
.line_start(0),
|
||||
|
||||
@ -1,2 +1,2 @@
|
||||
`define BUILD_DATE "190303"
|
||||
`define BUILD_TIME "162441"
|
||||
`define BUILD_DATE "190305"
|
||||
`define BUILD_TIME "183037"
|
||||
|
||||
Binary file not shown.
@ -117,7 +117,7 @@ keyboard keyboard(
|
||||
.ps2_kbd_data(ps2_kbd_data),
|
||||
.joystick(kbjoy)
|
||||
);
|
||||
|
||||
// Normal Rotated
|
||||
wire m_up = ~status[2] ? kbjoy[6] | joystick_0[1] | joystick_1[1] : kbjoy[4] | joystick_0[3] | joystick_1[3];
|
||||
wire m_down = ~status[2] ? kbjoy[7] | joystick_0[0] | joystick_1[0] : kbjoy[5] | joystick_0[2] | joystick_1[2];
|
||||
wire m_left = ~status[2] ? kbjoy[5] | joystick_0[2] | joystick_1[2] : kbjoy[6] | joystick_0[1] | joystick_1[1];
|
||||
|
||||
@ -1,2 +1,2 @@
|
||||
`define BUILD_DATE "190303"
|
||||
`define BUILD_TIME "171430"
|
||||
`define BUILD_DATE "190304"
|
||||
`define BUILD_TIME "173111"
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user