mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-19 09:18:02 +00:00
Moon Patrol: according to the schematic, sound request latch is level triggered
This commit is contained in:
parent
8a2196c4fc
commit
5fa85d2f5a
@ -111,7 +111,7 @@ architecture struct of moon_patrol_sound_board is
|
||||
signal adpcm_cs : std_logic;
|
||||
signal adpcm_we : std_logic;
|
||||
signal adpcm_0_di : std_logic_vector(3 downto 0);
|
||||
|
||||
|
||||
signal select_sound_7r : std_logic;
|
||||
|
||||
signal audio : std_logic_vector(12 downto 0);
|
||||
@ -179,16 +179,15 @@ cpu_di <=
|
||||
process (reset, clock_E)
|
||||
begin
|
||||
if reset='1' then
|
||||
cpu_irq <= '0';
|
||||
select_sound_7r <= '0';
|
||||
cpu_irq <= '0';
|
||||
elsif rising_edge(clock_E) then
|
||||
if select_sound_7r = '0' and select_sound(7) = '1' then
|
||||
cpu_irq <= '1';
|
||||
end if;
|
||||
if irqraz_we = '1' then
|
||||
cpu_irq <= '0';
|
||||
end if;
|
||||
select_sound_7r <= select_sound(7);
|
||||
select_sound_7r <= select_sound(7);
|
||||
if select_sound_7r = '0' then
|
||||
cpu_irq <= '1';
|
||||
end if;
|
||||
if irqraz_we = '1' then
|
||||
cpu_irq <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
||||
@ -157,7 +157,7 @@ begin
|
||||
|
||||
process (clk_sys, rst_sys) begin
|
||||
if rst_sys = '1' then
|
||||
sound_data_o <= X"00";
|
||||
sound_data_o <= X"FF";
|
||||
elsif rising_edge(clk_sys) then
|
||||
if cpu_clk_en = '1' and cpu_mem_wr = '1' and snd_cs = '1' then
|
||||
sound_data_o <= cpu_d_o;
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user