1
0
mirror of https://github.com/Gehstock/Mist_FPGA.git synced 2026-01-20 09:44:38 +00:00

Update Ninja Kun

This commit is contained in:
Gehstock 2019-12-23 11:26:44 +01:00
parent 288805b0dd
commit 82b784ea17
30 changed files with 2180 additions and 32 deletions

View File

@ -240,10 +240,6 @@ set_global_assignment -name VERILOG_FILE rtl/ninjakun_adec.v
set_global_assignment -name VERILOG_FILE rtl/dataselector_3D_8B.v
set_global_assignment -name VERILOG_FILE rtl/dataselector_4D_9B.v
set_global_assignment -name VERILOG_FILE rtl/dataselector_5D_8B.v
set_global_assignment -name VHDL_FILE rtl/rom/bg4_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/bg3_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/bg2_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/bg1_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/fg4_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/fg3_rom.vhd
set_global_assignment -name VHDL_FILE rtl/rom/fg2_rom.vhd

View File

@ -69,11 +69,8 @@ wire scandoublerD;
wire ypbpr;
wire [15:0] audio;
wire hs, vs;
wire hb, vb;
wire blankn = ~(hb | vb);
wire [3:0] r, g, b;
wire [14:0] cpu1_rom_addr;
wire [12:0] cpu2_rom_addr;
wire [14:0] cpu1_rom_addr, cpu2_rom_addr;
wire [15:0] cpu1_rom_do, cpu2_rom_do;
//wire [12:0] sp_rom_addr;
//wire [31:0] sp_rom_do;
@ -102,7 +99,7 @@ data_io data_io(
.ioctl_dout ( ioctl_dout )
);
wire [24:0] sp_ioctl_addr = ioctl_addr - 16'ha000;
wire [24:0] sp_ioctl_addr = ioctl_addr - 17'h10000;
reg port1_req, port2_req;
sdram sdram(
@ -121,7 +118,7 @@ sdram sdram(
.cpu1_addr ( ioctl_downl ? 16'hffff : {1'b0, cpu1_rom_addr[14:1]} ),
.cpu1_q ( cpu1_rom_do ),
.cpu2_addr ( ioctl_downl ? 16'hffff : (16'h4000 + cpu2_rom_addr[12:1]) ),
.cpu2_addr ( ioctl_downl ? 16'hffff : (16'h4000 + cpu2_rom_addr[14:1]) ),
.cpu2_q ( cpu2_rom_do ),
// port2 for sprite graphics
@ -163,7 +160,7 @@ end
wire PCLK;
wire [8:0] HPOS,VPOS;
wire [7:0] POUT;
wire [11:0] POUT;
ninjakun_top ninjakun_top(
.RESET(reset),
.MCLK(CLOCK_48),
@ -174,7 +171,7 @@ ninjakun_top ninjakun_top(
.PH(HPOS),
.PV(VPOS),
.PCLK(PCLK),
.POUT(POUT),
.POUT(oPIX),
.SNDOUT(audio),
.CPU1ADDR(cpu1_rom_addr),
.CPU1DT(cpu1_rom_addr[0] ? cpu1_rom_do[15:8] : cpu1_rom_do[7:0]),
@ -187,6 +184,9 @@ ninjakun_top ninjakun_top(
.bg_rom_addr(bg_rom_addr),
.bg_rom_data(bg_rom_do)
);
wire [7:0] oPIX;
assign POUT = {{oPIX[7:6],oPIX[1:0]},{oPIX[5:4],oPIX[1:0]},{oPIX[3:2],oPIX[1:0]}};
hvgen hvgen(
.HPOS(HPOS),
@ -194,8 +194,6 @@ hvgen hvgen(
.PCLK(PCLK),
.iRGB(POUT),
.oRGB({r,g,b}),
.HBLK(hb),
.VBLK(vb),
.HSYN(hs),
.VSYN(vs)
);
@ -205,9 +203,9 @@ mist_video #(.COLOR_DEPTH(4), .SD_HCNT_WIDTH(11)) mist_video(
.SPI_SCK ( SPI_SCK ),
.SPI_SS3 ( SPI_SS3 ),
.SPI_DI ( SPI_DI ),
.R ( blankn ? r : 0 ),
.G ( blankn ? g : 0 ),
.B ( blankn ? b : 0 ),
.R ( r ),
.G ( g ),
.B ( b ),
.HSync ( hs ),
.VSync ( vs ),
.VGA_R ( VGA_R ),

View File

@ -4,7 +4,6 @@ module hvgen
output [8:0] VPOS,
input PCLK,
input [11:0] iRGB,
output reg [11:0] oRGB,
output reg HBLK = 1,
output reg VBLK = 1,

View File

@ -6,10 +6,8 @@ module ninjakun_clkgen
output VCLKx4,
output VCLK,
output VRAMCL,
output PCLK,
output CLK24M,
output CLK12M,
output CLK6M,
@ -21,7 +19,6 @@ always @( posedge MCLK ) CLKDIV <= CLKDIV+1'b1;
assign VCLKx4 = CLKDIV[0]; // 24MHz
assign VCLK = CLKDIV[2]; // 6MHz
assign CLK24M = CLKDIV[0];
assign CLK12M = CLKDIV[1];
assign CLK6M = CLKDIV[2];
@ -30,6 +27,7 @@ assign CLK3M = CLKDIV[3];
assign VRAMCL = ~VCLKx4;
assign PCLK = ~VCLK;
endmodule

View File

@ -15,7 +15,7 @@ module ninjakun_main
output CPWRT,
output [14:0] CPU1ADDR,
input [7:0] CPU1DT,
output [12:0] CPU2ADDR,
output [14:0] CPU2ADDR,
input [7:0] CPU2DT
);
@ -104,7 +104,7 @@ ninjakun_adec adec(
wire [7:0] ROM0D, ROM1D;
assign CPU1ADDR = CP0AD[14:0];
assign ROM0D = CPU1DT;
assign CPU2ADDR = CP1AD[12:0];
assign CPU2ADDR = CP1AD[14:0];
assign ROM1D = CPU2DT;
wire [7:0] SHDT0, SHDT1;

View File

@ -42,7 +42,7 @@ module ninjakun_top
output [15:0] SNDOUT, // Sound Output (LPCM unsigned 16bits)
output [14:0] CPU1ADDR,
input [7:0] CPU1DT,
output [12:0] CPU2ADDR,
output [14:0] CPU2ADDR,
input [7:0] CPU2DT,
// output [12:0] sp_rom_addr,
// input [31:0] sp_rom_data,

View File

@ -5,7 +5,6 @@ module ninjakun_video
input RESET,
input VCLKx4,
input VCLK,
input [8:0] PH,
input [8:0] PV,
@ -44,7 +43,6 @@ wire [31:0] FGCDT;
wire [12:0] BGCAD;
wire [31:0] BGCDT;
wire sc_acc = 0;
//NJFGROM sprom(~VCLKx4, SPCAD, SPCDT, ROMCL, ROMAD, ROMDT, ROMEN);
//NJFGROM fgrom( ~VCLK, FGCAD, FGCDT, ROMCL, ROMAD, ROMDT, ROMEN);
@ -85,8 +83,32 @@ fg4_rom fg4_rom (
.clk(~VCLKx4),
.addr(SPCAD),
.data(SPCDT[31:24])
);/*
fg1_rom fg1_rom (
.clk(~VCLK),//if sprite ? ~VCLKx4 : ~VCLK
.addr(FGCAD),//if sprite ? SPCAD : FGCAD
.data(FGCDT[7:0])//if sprite ? SPCDT[7:0] : FGCDT[7:0]
);
fg2_rom fg2_rom (
.clk(~VCLK),
.addr(FGCAD),
.data(FGCDT[15:8])
);
fg3_rom fg3_rom (
.clk(~VCLK),
.addr(FGCAD),
.data(FGCDT[23:16])
);
fg4_rom fg4_rom (
.clk(~VCLK),
.addr(FGCAD),
.data(FGCDT[31:24])
);*/
// Fore-Ground Scanline Generator
wire FGPRI;
wire [8:0] FGOUT;

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity fg1_rom is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of fg1_rom is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",
X"55",X"55",X"EE",X"EE",X"58",X"88",X"8B",X"BB",X"99",X"9B",X"97",X"78",X"99",X"AA",X"AA",X"AA",
X"55",X"55",X"EE",X"55",X"88",X"88",X"88",X"BB",X"9B",X"BB",X"99",X"AA",X"9A",X"A9",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"11",X"11",X"11",X"21",X"21",X"12",X"12",
X"21",X"21",X"22",X"22",X"32",X"32",X"23",X"23",X"32",X"32",X"33",X"33",X"43",X"43",X"34",X"34",
X"43",X"43",X"34",X"34",X"54",X"54",X"45",X"45",X"54",X"54",X"45",X"45",X"65",X"65",X"56",X"56",
X"65",X"65",X"56",X"56",X"76",X"76",X"67",X"67",X"76",X"76",X"67",X"67",X"87",X"87",X"78",X"78",
X"87",X"87",X"78",X"78",X"98",X"98",X"89",X"89",X"98",X"98",X"89",X"89",X"A9",X"A9",X"9A",X"9A",
X"A9",X"A9",X"9A",X"9A",X"BA",X"BA",X"AB",X"AB",X"BA",X"BA",X"AB",X"AB",X"CB",X"CB",X"BC",X"BC",
X"CB",X"CB",X"BC",X"BC",X"DC",X"DC",X"CD",X"CD",X"DC",X"DC",X"CD",X"CD",X"ED",X"ED",X"DE",X"DE",
X"ED",X"ED",X"DE",X"DE",X"FE",X"FE",X"EF",X"EF",X"FE",X"FE",X"EF",X"EF",X"FF",X"FF",X"FF",X"FF",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"40",
X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"4A",X"00",X"AA",X"00",X"DA",X"04",X"AA",X"00",X"AA",
X"4A",X"A4",X"4A",X"AA",X"AA",X"AA",X"AA",X"AD",X"AA",X"AA",X"AD",X"AA",X"AA",X"AA",X"AA",X"AD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"44",X"00",X"4A",X"00",X"A4",X"00",X"AA",X"04",X"AA",X"44",X"AA",X"AA",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"41",X"00",X"11",X"40",X"11",X"44",X"11",
X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"44",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"00",X"11",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"00",X"00",X"40",X"00",X"14",X"00",X"14",X"00",X"14",X"00",X"14",X"00",X"14",X"00",X"14",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"40",X"11",X"14",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"DA",X"AA",X"AA",X"AA",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",
X"A4",X"11",X"AA",X"33",X"AA",X"44",X"44",X"AA",X"4A",X"44",X"AA",X"33",X"A4",X"33",X"44",X"44",
X"AA",X"DA",X"AA",X"AA",X"AA",X"A4",X"4A",X"4F",X"E4",X"A4",X"E4",X"44",X"5E",X"04",X"5E",X"04",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"DD",X"DD",X"4D",X"DD",X"4D",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"D4",X"DD",X"D4",X"DD",X"D4",
X"FF",X"5E",X"FF",X"5E",X"FF",X"5E",X"FF",X"E5",X"44",X"E5",X"34",X"E5",X"34",X"56",X"34",X"56",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"66",X"88",X"66",X"57",X"66",X"58",X"66",X"55",X"66",X"22",X"66",X"99",X"66",X"BB",X"65",X"99",
X"88",X"88",X"77",X"77",X"58",X"88",X"35",X"55",X"32",X"22",X"39",X"99",X"39",X"BB",X"39",X"99",
X"5E",X"04",X"65",X"04",X"65",X"04",X"65",X"04",X"66",X"44",X"66",X"44",X"66",X"44",X"44",X"E4",
X"00",X"DD",X"00",X"DD",X"00",X"54",X"00",X"C4",X"00",X"C4",X"00",X"C4",X"00",X"C4",X"00",X"C4",
X"00",X"E4",X"00",X"E4",X"00",X"4E",X"00",X"4E",X"00",X"4E",X"00",X"4C",X"00",X"4C",X"00",X"4C",
X"00",X"C4",X"00",X"C4",X"00",X"C4",X"04",X"44",X"49",X"99",X"04",X"BB",X"00",X"55",X"00",X"54",
X"DD",X"DD",X"DD",X"DD",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"DD",X"4D",X"DD",X"4D",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"64",X"44",X"76",X"48",X"88",X"99",X"99",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"43",X"44",X"43",X"44",X"99",X"99",X"44",X"44",X"44",X"44",X"44",X"44",
X"DD",X"D4",X"DD",X"D4",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"3C",X"56",X"35",X"66",X"35",X"66",X"35",X"66",X"5E",X"66",X"5E",X"66",X"5E",X"66",X"E5",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"99",X"99",X"BB",X"44",X"44",X"44",X"44",X"44",
X"E5",X"55",X"E5",X"B9",X"55",X"B9",X"55",X"B9",X"55",X"B9",X"35",X"22",X"35",X"55",X"35",X"88",
X"65",X"9B",X"53",X"9B",X"53",X"9B",X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",
X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",X"B3",X"9B",X"23",X"22",X"53",X"55",X"53",X"88",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"32",X"22",X"35",X"55",X"35",X"88",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"9D",X"00",X"9D",
X"00",X"00",X"00",X"00",X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"09",X"00",X"09",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",
X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"BB",X"09",X"BB",X"9B",X"BB",X"9B",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"09",X"BB",X"09",X"BB",X"00",X"BB",X"00",X"9B",X"00",X"09",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"99",X"DD",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"BB",X"99",X"BB",X"BB",
X"90",X"00",X"90",X"00",X"90",X"00",X"90",X"00",X"90",X"00",X"90",X"00",X"90",X"00",X"90",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"99",X"00",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"B9",X"09",X"B9",X"09",X"B9",X"09",X"B9",X"9D",
X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"00",X"00",X"00",X"09",X"00",X"9D",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"99",X"BB",X"9D",X"9B",X"9D",X"D9",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"99",
X"BB",X"BB",X"BB",X"BB",X"99",X"BB",X"DD",X"99",X"DD",X"90",X"DD",X"00",X"99",X"99",X"DD",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"99",X"99",X"00",X"00",X"09",X"00",X"09",X"90",X"09",
X"B9",X"9D",X"90",X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"D9",X"00",X"9D",X"90",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"DD",X"9B",X"DD",X"9B",
X"90",X"00",X"90",X"00",X"99",X"00",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"9B",X"BB",
X"DD",X"D9",X"DD",X"9D",X"99",X"DD",X"DD",X"DD",X"9D",X"DD",X"99",X"DD",X"B9",X"DD",X"BB",X"DD",
X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",
X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",
X"DD",X"9B",X"DD",X"9B",X"DD",X"9B",X"DD",X"D9",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"9B",X"BB",X"D9",X"BB",
X"9B",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"9B",X"BB",X"99",X"BB",X"DD",X"BB",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"B9",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"9D",X"00",X"09",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",X"00",
X"90",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"9D",X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"00",X"99",
X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"90",X"DD",X"00",X"99",X"00",
X"00",X"99",X"09",X"DD",X"09",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",
X"99",X"90",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"99",X"09",X"DD",X"9D",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",
X"00",X"00",X"99",X"99",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"00",X"99",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"B9",X"00",X"B9",X"00",X"BB",X"00",X"BB",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"09",X"DD",X"00",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"99",X"9B",
X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",
X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"90",X"B9",X"00",X"90",X"00",
X"BB",X"00",X"B9",X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"09",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"D9",X"09",X"9B",
X"99",X"99",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",
X"99",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",
X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"99",X"00",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"09",X"BB",X"09",X"BB",X"09",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"99",X"99",
X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"99",X"00",
X"00",X"9B",X"00",X"09",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"9D",X"00",X"9D",X"00",X"9D",
X"BB",X"B9",X"BB",X"9D",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"DD",X"BB",X"D9",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"BB",X"9D",X"BB",X"B9",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"90",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"9B",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"9B",X"BB",X"9B",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"99",X"99",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"09",X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"09",X"99",
X"D9",X"BB",X"DD",X"BB",X"DD",X"9B",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"90",X"00",X"00",X"00",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",
X"BB",X"BB",X"BB",X"B9",X"BB",X"9D",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"DD",X"00",X"DD",X"09",X"DD",
X"00",X"00",X"00",X"00",X"99",X"00",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"DD",X"00",X"DD",X"00",
X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"D9",X"00",X"90",X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",
X"09",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"9D",
X"90",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",X"DD",X"DD",
X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"D9",X"DD",X"9D",X"9D",X"DD",X"09",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"9D",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",
X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"9D",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"99",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"D9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",
X"09",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"99",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"99",X"90",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"98",X"99",X"88",X"88",X"88",X"98",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"98",X"88",X"88",
X"88",X"98",X"88",X"88",X"99",X"89",X"88",X"88",X"99",X"88",X"88",X"88",X"88",X"89",X"88",X"88",
X"88",X"89",X"88",X"88",X"88",X"89",X"88",X"88",X"88",X"98",X"89",X"88",X"89",X"88",X"88",X"89",
X"88",X"98",X"88",X"88",X"88",X"98",X"88",X"88",X"98",X"98",X"98",X"98",X"98",X"98",X"99",X"88",
X"98",X"00",X"98",X"00",X"98",X"09",X"98",X"98",X"98",X"88",X"88",X"88",X"98",X"98",X"98",X"98",
X"09",X"98",X"09",X"98",X"99",X"99",X"88",X"88",X"99",X"89",X"99",X"89",X"88",X"89",X"98",X"89",
X"98",X"98",X"98",X"88",X"98",X"88",X"98",X"88",X"88",X"98",X"88",X"88",X"98",X"89",X"09",X"90",
X"98",X"89",X"98",X"88",X"98",X"88",X"98",X"88",X"88",X"88",X"88",X"99",X"98",X"09",X"09",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"9D",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"9D",X"90",X"99",X"99",
X"00",X"D0",X"00",X"D0",X"00",X"90",X"00",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"9D",X"99",X"9D",X"99",X"D0",X"9D",X"00",X"D0",X"09",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"95",X"55",X"95",X"99",X"95",X"99",X"95",X"55",X"95",X"99",X"95",X"99",X"95",X"55",
X"99",X"99",X"55",X"55",X"99",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"99",X"55",X"55",X"55",
X"09",X"99",X"09",X"55",X"09",X"59",X"09",X"55",X"09",X"59",X"09",X"55",X"09",X"59",X"09",X"55",
X"99",X"99",X"55",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"55",X"55",
X"99",X"99",X"55",X"59",X"55",X"59",X"55",X"95",X"55",X"55",X"55",X"99",X"55",X"55",X"55",X"55",
X"55",X"90",X"55",X"90",X"59",X"59",X"99",X"55",X"55",X"55",X"55",X"95",X"55",X"55",X"55",X"95",
X"55",X"55",X"55",X"55",X"55",X"99",X"55",X"00",X"55",X"09",X"55",X"99",X"55",X"95",X"95",X"59",
X"55",X"95",X"55",X"55",X"55",X"99",X"55",X"00",X"59",X"99",X"99",X"55",X"99",X"55",X"00",X"55",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"09",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"55",X"05",X"99",X"00",X"95",X"00",X"95",X"00",X"95",X"00",X"95",X"00",X"95",X"00",X"50",
X"55",X"05",X"99",X"59",X"95",X"95",X"95",X"55",X"95",X"05",X"95",X"05",X"95",X"05",X"50",X"00",
X"04",X"AA",X"00",X"AD",X"00",X"DA",X"00",X"AA",X"00",X"AA",X"04",X"AA",X"04",X"AA",X"04",X"AA",
X"AA",X"AA",X"AA",X"AA",X"AD",X"AA",X"AA",X"DD",X"AA",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AD",
X"4A",X"AA",X"04",X"AD",X"4D",X"AA",X"4A",X"AA",X"04",X"AA",X"04",X"AA",X"4D",X"AA",X"4A",X"AA",
X"AA",X"AA",X"AA",X"AA",X"AD",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A5",X"AA",X"56",X"AA",X"56",
X"4A",X"AA",X"4A",X"AA",X"04",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"44",X"00",X"00",
X"DA",X"A5",X"AA",X"55",X"AA",X"88",X"AA",X"88",X"AA",X"58",X"A4",X"48",X"40",X"04",X"00",X"04",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"48",X"00",X"88",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"40",X"00",X"14",X"00",X"11",X"40",X"11",X"14",X"11",X"11",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"14",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"14",X"00",X"40",X"00",X"00",X"00",
X"88",X"88",X"77",X"77",X"58",X"88",X"35",X"55",X"32",X"22",X"39",X"99",X"39",X"BB",X"39",X"99",
X"44",X"00",X"77",X"00",X"44",X"00",X"34",X"44",X"32",X"22",X"39",X"99",X"39",X"BB",X"39",X"99",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"32",X"22",X"35",X"44",X"35",X"00",
X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"32",X"22",X"34",X"44",X"34",X"00",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",
X"44",X"00",X"66",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",X"00",
X"00",X"44",X"00",X"66",X"04",X"66",X"46",X"66",X"46",X"66",X"04",X"66",X"00",X"66",X"00",X"44",
X"00",X"66",X"00",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"00",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"44",X"66",X"00",X"64",X"00",X"40",X"00",X"40",X"00",X"64",X"00",X"66",X"00",X"66",X"44",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",
X"AA",X"4A",X"99",X"4A",X"AA",X"4A",X"44",X"94",X"AA",X"A4",X"AA",X"44",X"4A",X"A4",X"94",X"AB",
X"4A",X"77",X"49",X"74",X"A4",X"74",X"A4",X"74",X"A4",X"49",X"A4",X"99",X"AA",X"99",X"AA",X"94",
X"AA",X"AB",X"99",X"BB",X"AA",X"4B",X"99",X"4B",X"AA",X"A4",X"99",X"94",X"AA",X"AA",X"99",X"99",
X"AA",X"94",X"AA",X"49",X"AA",X"4A",X"AA",X"49",X"AA",X"AA",X"AA",X"99",X"AA",X"AA",X"AA",X"99",
X"AA",X"AA",X"44",X"99",X"A4",X"AA",X"99",X"49",X"AA",X"A4",X"99",X"AA",X"AA",X"AA",X"99",X"AA",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"94",X"AA",X"A4",X"99",X"4B",
X"AA",X"AA",X"99",X"94",X"AA",X"47",X"99",X"47",X"AA",X"47",X"99",X"77",X"AA",X"77",X"99",X"77",
X"AA",X"4B",X"99",X"4B",X"AA",X"4B",X"99",X"94",X"AA",X"A4",X"99",X"99",X"AA",X"AA",X"99",X"99",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"44",X"99",X"99",X"AA",X"44",X"99",X"4B",X"4A",X"94",X"49",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"AA",X"B4",X"99",X"B4",X"AA",X"B4",X"99",X"B4",X"AA",X"44",X"99",X"94",X"AA",X"AA",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"06",X"00",X"69",X"66",X"97",X"99",X"72",X"77",X"26",X"22",X"61",X"66",X"10",X"11",X"00",
X"66",X"C0",X"77",X"CC",X"22",X"CC",X"66",X"CC",X"11",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",
X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",
X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",X"00",X"62",
X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",X"84",X"AC",
X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",
X"37",X"10",X"37",X"10",X"37",X"10",X"37",X"10",X"37",X"10",X"37",X"10",X"37",X"10",X"37",X"10",
X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DB",X"59",X"DC",X"C5",X"DC",X"11",X"CC",X"11",
X"37",X"10",X"37",X"10",X"37",X"61",X"37",X"66",X"13",X"22",X"11",X"1C",X"CC",X"48",X"55",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"02",X"00",X"21",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02",X"00",X"01",
X"00",X"12",X"01",X"21",X"12",X"12",X"21",X"21",X"12",X"02",X"21",X"20",X"12",X"12",X"21",X"21",
X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"00",X"12",X"01",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"00",X"12",X"66",X"20",X"66",X"06",X"66",X"66",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"01",X"44",X"02",X"44",X"01",X"44",X"02",X"44",X"01",X"44",X"12",X"44",X"21",X"44",X"12",
X"44",X"44",X"40",X"00",X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"44",X"21",X"44",X"12",X"00",X"21",X"66",X"12",X"66",X"21",X"66",X"12",X"60",X"21",X"60",X"12",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"02",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"01",X"44",X"02",X"44",X"01",X"44",X"02",X"44",X"01",X"44",X"02",X"44",X"01",X"44",X"02",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"03",X"44",X"33",
X"44",X"44",X"44",X"44",X"44",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"00",X"44",X"00",X"44",X"00",X"40",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"03",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"03",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"40",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"40",X"44",X"40",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"40",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"40",X"00",X"40",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"00",X"44",X"00",X"44",X"00",X"40",X"00",X"40",X"00",X"40",X"00",X"40",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"11",X"11",X"11",X"44",X"14",X"44",X"14",X"44",X"14",X"14",X"14",X"41",X"14",X"44",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"33",X"00",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"77",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"77",X"44",
X"44",X"44",X"44",X"74",X"44",X"77",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"74",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"33",X"44",X"33",X"43",X"43",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"43",X"33",X"44",X"33",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"34",X"44",X"43",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"40",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"33",X"30",X"33",X"30",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"30",X"00",X"30",X"00",
X"11",X"11",X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",
X"00",X"44",X"00",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"00",X"44",X"00",X"44",X"00",X"44",
X"11",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"04",X"00",X"02",X"00",X"29",X"00",X"99",X"00",X"99",X"02",X"99",X"02",X"99",X"29",X"99",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"94",X"44",X"94",X"44",X"94",X"00",X"94",X"CC",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"C0",X"44",
X"44",X"44",X"44",X"44",X"44",X"42",X"44",X"42",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"42",X"44",X"42",X"44",X"42",X"44",X"22",X"44",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"24",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"24",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"29",X"99",X"29",X"99",X"99",X"92",X"99",X"90",X"99",X"05",X"92",X"55",X"90",X"55",X"0C",X"CC",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"CC",X"CC",X"55",X"CC",X"55",X"CC",X"55",X"CC",X"55",X"0C",X"55",X"00",X"C5",X"00",X"00",
X"0C",X"CC",X"CC",X"CC",X"5C",X"C5",X"5C",X"55",X"CC",X"55",X"CC",X"C5",X"CC",X"C0",X"CC",X"0F",
X"CC",X"04",X"5C",X"F0",X"50",X"FF",X"00",X"FF",X"F0",X"FF",X"FF",X"F0",X"FF",X"0F",X"FF",X"FF",
X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0F",X"F0",
X"FF",X"F0",X"F0",X"F0",X"0F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"00",X"44",
X"14",X"44",X"14",X"44",X"14",X"44",X"14",X"44",X"14",X"44",X"14",X"44",X"14",X"44",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"F0",X"FF",X"F0",X"FF",X"F0",X"FF",X"F0",X"FF",X"F0",X"FF",X"0F",X"0F",X"0F",X"00",X"FF",
X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",
X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"44",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",X"00",X"04",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"04",X"44",X"04",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"04",X"44",X"00",X"44",X"00",X"44",X"00",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",
X"03",X"04",X"33",X"30",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"66",X"66",X"66",X"00",X"66",X"11",X"00",X"00",X"11",X"33",X"00",X"33",X"33",X"33",X"33",X"30",
X"11",X"33",X"00",X"33",X"33",X"00",X"33",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"00",X"33",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"66",X"01",X"60",X"11",X"01",X"10",
X"66",X"60",X"66",X"01",X"66",X"11",X"60",X"11",X"01",X"11",X"11",X"10",X"11",X"03",X"11",X"33",
X"11",X"03",X"10",X"33",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"66",X"60",X"66",X"66",X"66",X"66",
X"60",X"21",X"00",X"12",X"01",X"21",X"02",X"12",X"21",X"21",X"12",X"12",X"01",X"21",X"60",X"12",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"66",X"10",X"66",
X"66",X"01",X"66",X"60",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"21",X"21",X"12",X"10",X"21",X"06",X"12",X"66",X"21",X"66",X"12",X"66",X"21",X"66",X"10",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"20",X"66",X"06",X"66",X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"06",X"44",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"40",X"00",
X"44",X"66",X"44",X"66",X"40",X"66",X"40",X"66",X"00",X"66",X"10",X"66",X"10",X"66",X"11",X"66",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"00",X"30",X"00",X"33",X"00",X"33",X"00",
X"01",X"66",X"01",X"66",X"30",X"06",X"33",X"10",X"03",X"11",X"03",X"01",X"00",X"30",X"00",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"03",X"44",X"33",X"44",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"33",X"44",X"33",X"40",X"33",X"40",X"33",X"40",X"33",X"03",X"33",X"03",X"33",X"03",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"30",X"33",X"30",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"33",X"00",X"33",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"00",X"00",X"00",X"30",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"30",
X"11",X"66",X"01",X"06",X"30",X"10",X"33",X"11",X"33",X"11",X"33",X"01",X"33",X"30",X"33",X"33",
X"66",X"66",X"66",X"60",X"66",X"60",X"66",X"06",X"06",X"06",X"10",X"06",X"11",X"06",X"11",X"00",
X"03",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",
X"01",X"11",X"30",X"00",X"33",X"11",X"33",X"11",X"30",X"11",X"01",X"10",X"11",X"03",X"11",X"33",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"66",X"00",X"66",X"11",X"00",X"11",
X"66",X"01",X"66",X"11",X"60",X"11",X"01",X"11",X"11",X"10",X"11",X"03",X"11",X"33",X"10",X"33",
X"11",X"11",X"11",X"10",X"11",X"03",X"10",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",
X"11",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",
X"33",X"00",X"33",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"00",X"33",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"30",X"44",X"33",X"44",X"33",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",
X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"33",X"44",X"33",X"04",X"33",X"04",X"33",X"30",X"33",X"30",X"33",X"30",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"04",X"44",X"04",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"20",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"12",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"21",
X"00",X"00",X"00",X"21",X"00",X"12",X"01",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"77",X"78",X"21",X"21",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"12",X"01",X"21",X"12",X"12",X"21",X"21",
X"00",X"00",X"00",X"00",X"02",X"00",X"21",X"21",X"12",X"12",X"21",X"01",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"88",X"12",X"21",X"88",
X"12",X"12",X"21",X"21",X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"04",X"12",X"04",X"21",X"04",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"04",X"44",X"00",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"20",
X"00",X"12",X"00",X"21",X"00",X"12",X"01",X"21",X"02",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"20",X"12",X"10",X"21",X"20",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"1A",X"12",X"21",X"77",X"12",X"88",X"21",X"28",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"AA",X"21",X"88",X"A7",X"10",X"7A",X"70",X"08",X"78",X"A1",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"81",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"10",X"44",X"04",X"44",X"44",X"44",X"44",X"44",
X"12",X"10",X"21",X"04",X"12",X"04",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"42",X"44",X"42",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"42",X"44",X"42",X"44",X"42",X"44",X"22",X"44",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"24",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"24",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"20",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"20",X"12",X"04",X"44",X"44",X"FF",X"44",X"F0",X"44",
X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"44",X"20",X"44",X"04",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"02",X"21",X"40",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"40",X"21",X"06",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"02",X"12",X"00",X"21",X"66",X"02",X"66",X"60",
X"10",X"66",X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"12",X"12",X"21",X"20",X"12",X"10",X"21",X"06",X"12",X"06",X"21",X"66",X"12",X"66",X"00",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"66",X"44",X"66",X"04",X"66",X"60",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"66",X"01",X"66",X"10",X"60",X"03",
X"44",X"44",X"44",X"44",X"44",X"24",X"44",X"22",X"44",X"42",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"42",X"44",X"42",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"30",X"44",X"30",X"44",
X"44",X"44",X"44",X"24",X"44",X"24",X"44",X"42",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"21",X"44",X"00",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"40",X"00",X"00",X"12",X"21",X"00",X"22",X"12",X"00",X"21",X"44",X"02",
X"44",X"44",X"44",X"40",X"44",X"40",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"04",X"40",X"10",X"44",X"21",X"04",X"02",X"10",X"00",X"21",X"44",X"12",X"44",X"02",X"44",X"40");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity fg2_rom is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of fg2_rom is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",
X"55",X"55",X"EE",X"E5",X"88",X"88",X"BB",X"BB",X"99",X"BB",X"77",X"99",X"9A",X"AA",X"AA",X"AA",
X"55",X"55",X"EE",X"5E",X"88",X"55",X"99",X"88",X"BB",X"B9",X"AA",X"AA",X"AA",X"99",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"11",X"11",X"11",X"11",X"12",X"12",
X"21",X"22",X"22",X"22",X"22",X"22",X"23",X"23",X"32",X"32",X"33",X"33",X"33",X"33",X"34",X"34",
X"43",X"43",X"34",X"34",X"44",X"44",X"45",X"45",X"54",X"54",X"55",X"55",X"55",X"55",X"56",X"56",
X"65",X"65",X"66",X"66",X"66",X"66",X"67",X"67",X"76",X"76",X"77",X"77",X"77",X"77",X"78",X"78",
X"87",X"87",X"88",X"88",X"88",X"88",X"89",X"89",X"98",X"98",X"99",X"99",X"99",X"99",X"9A",X"9A",
X"A9",X"A9",X"AA",X"AA",X"AA",X"AA",X"AB",X"AB",X"BA",X"BA",X"BB",X"BB",X"BB",X"BB",X"BC",X"BC",
X"CB",X"CB",X"CC",X"CC",X"CC",X"CC",X"CD",X"CD",X"DC",X"DC",X"DD",X"DD",X"DD",X"DD",X"DE",X"DE",
X"ED",X"ED",X"EE",X"EE",X"EE",X"EE",X"EF",X"EF",X"FE",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"4A",X"00",
X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"4A",X"04",X"AA",X"4A",X"AA",X"AA",X"AA",X"4A",X"DA",
X"4A",X"00",X"AA",X"40",X"DA",X"A4",X"AA",X"AA",X"AA",X"A4",X"DA",X"AA",X"AA",X"AA",X"AA",X"DA",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"A4",X"40",X"A4",X"A4",X"AA",X"AA",X"AA",X"A4",
X"00",X"00",X"00",X"00",X"00",X"44",X"00",X"11",X"00",X"11",X"04",X"11",X"41",X"11",X"11",X"11",
X"00",X"04",X"00",X"41",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"44",X"44",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"14",X"00",X"11",X"40",X"11",X"14",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"AA",X"AA",X"AA",X"A4",X"AA",X"AA",X"AA",X"44",X"AA",X"AA",X"AA",X"AA",X"DA",X"44",X"AA",X"A4",
X"41",X"11",X"A4",X"33",X"43",X"33",X"44",X"43",X"A4",X"33",X"43",X"33",X"33",X"33",X"44",X"44",
X"AA",X"A4",X"AA",X"4F",X"AA",X"FF",X"AA",X"FF",X"AA",X"44",X"AA",X"84",X"44",X"84",X"40",X"84",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"4D",X"DD",X"4D",X"DD",X"4D",X"DD",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"DD",X"D4",X"DD",X"D4",X"DD",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"44",X"DD",X"44",X"DD",X"44",X"DD",X"44",
X"4C",X"56",X"4C",X"56",X"4C",X"56",X"45",X"66",X"45",X"66",X"85",X"66",X"5E",X"66",X"5E",X"66",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"55",X"88",X"66",X"77",X"66",X"88",X"65",X"55",X"65",X"22",X"59",X"99",X"5B",X"B9",X"99",X"99",
X"88",X"88",X"77",X"77",X"88",X"85",X"55",X"53",X"22",X"23",X"99",X"93",X"BB",X"93",X"99",X"93",
X"40",X"84",X"E4",X"84",X"E4",X"84",X"E4",X"84",X"5E",X"84",X"5E",X"84",X"5E",X"84",X"44",X"84",
X"4D",X"DD",X"4D",X"DD",X"45",X"44",X"48",X"44",X"48",X"44",X"48",X"44",X"48",X"44",X"48",X"44",
X"04",X"84",X"04",X"84",X"00",X"84",X"00",X"84",X"00",X"84",X"00",X"E4",X"00",X"E4",X"00",X"E4",
X"48",X"44",X"48",X"44",X"48",X"44",X"44",X"44",X"99",X"99",X"4B",X"44",X"4A",X"44",X"4A",X"44",
X"D4",X"DD",X"D4",X"DD",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"DD",X"DD",X"DD",X"DD",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"46",X"44",X"88",X"88",X"99",X"99",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"34",X"44",X"34",X"44",X"99",X"99",X"44",X"44",X"44",X"44",X"44",X"44",
X"DD",X"45",X"DD",X"45",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"45",
X"5E",X"66",X"E5",X"66",X"E5",X"66",X"E5",X"66",X"56",X"65",X"56",X"65",X"56",X"65",X"55",X"65",
X"44",X"45",X"44",X"45",X"44",X"4E",X"44",X"4E",X"99",X"4E",X"BB",X"E5",X"4A",X"E5",X"4A",X"E5",
X"39",X"59",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"39",X"B9",X"32",X"22",X"35",X"55",X"35",X"88",
X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",
X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"9B",X"22",X"22",X"55",X"55",X"58",X"85",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"22",X"23",X"55",X"53",X"88",X"53",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"00",X"00",X"00",X"00",X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"99",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"D9",X"00",X"D9",X"00",X"DD",X"00",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"9B",X"BB",X"09",X"BB",X"00",X"99",
X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"99",X"DD",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"99",X"99",X"BB",X"BB",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"99",X"00",X"BB",X"90",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",
X"00",X"09",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",
X"99",X"90",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"BB",X"BB",X"99",X"BB",X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"09",X"99",X"00",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"99",X"9B",X"DD",X"09",X"D9",X"00",X"99",X"90",X"DD",X"D9",
X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"99",X"99",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"00",X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",
X"D9",X"00",X"D9",X"00",X"90",X"00",X"90",X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"99",X"00",
X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"9D",X"DD",X"9D",X"DD",
X"09",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"00",X"9D",X"00",X"09",X"99",X"00",X"BB",X"09",X"BB",X"9B",X"BB",X"B9",X"BB",X"B9",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"99",X"DD",X"BB",X"99",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"9D",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",
X"DD",X"00",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",
X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",
X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"9B",X"DD",X"D9",X"DD",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"9B",X"99",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"99",X"BB",
X"9D",X"DD",X"B9",X"DD",X"B9",X"DD",X"B9",X"DD",X"B9",X"DD",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",
X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"09",X"DD",X"00",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"DD",X"00",X"99",X"00",
X"9D",X"DD",X"09",X"DD",X"09",X"DD",X"00",X"DD",X"00",X"9D",X"00",X"09",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"09",X"99",
X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"D9",X"DD",X"D9",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"00",X"99",X"00",X"00",X"00",
X"09",X"99",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"99",X"00",X"DD",X"90",X"DD",X"90",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"09",
X"00",X"00",X"09",X"99",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",
X"00",X"00",X"99",X"99",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"00",X"99",X"00",X"BB",X"99",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"90",X"00",
X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"09",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"99",X"BB",
X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"90",X"B9",X"00",X"90",X"00",X"00",X"00",
X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"99",X"09",X"DD",X"9D",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",
X"99",X"99",X"DD",X"D9",X"DD",X"9B",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"9B",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",
X"BB",X"99",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"99",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"90",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",
X"D9",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"09",X"BB",X"09",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"99",X"99",
X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"90",X"00",
X"00",X"BB",X"00",X"BB",X"00",X"99",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"BB",X"9D",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"D9",X"BB",X"9B",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"B9",X"DD",X"BB",X"DD",X"BB",X"9D",
X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"90",X"00",X"90",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"99",X"99",X"DD",X"D9",X"DD",X"DD",X"DD",X"DD",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"B9",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"B9",X"BB",X"B9",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",X"D9",X"00",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"9D",X"00",X"09",X"00",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"BB",X"BB",X"9B",X"BB",X"D9",X"BB",X"DD",X"99",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"D9",X"00",X"D9",X"00",X"D9",X"00",X"90",X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",
X"BB",X"9D",X"BB",X"DD",X"B9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"99",X"90",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"DD",X"09",X"DD",X"9D",X"DD",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"90",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",X"DD",X"90",
X"00",X"00",X"00",X"09",X"00",X"9D",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"9D",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"90",X"00",
X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"D9",X"DD",X"DD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",X"00",X"DD",
X"00",X"00",X"00",X"00",X"90",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"90",
X"00",X"DD",X"00",X"9D",X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"9D",
X"DD",X"DD",X"DD",X"99",X"D9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"90",X"DD",X"90",X"9D",X"90",X"D9",X"90",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"00",X"9D",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"09",X"DD",X"09",X"DD",X"09",X"DD",
X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"D9",X"00",X"90",X"90",X"99",X"D9",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"D9",X"DD",X"9D",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"00",X"00",X"90",X"00",X"D9",X"00",X"D9",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"00",
X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"9D",X"DD",X"09",X"DD",X"09",X"DD",X"00",X"DD",X"00",X"99",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"9D",X"DD",X"9D",X"DD",X"09",X"99",
X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"90",X"DD",X"00",X"DD",X"00",X"99",X"00",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"99",X"DD",
X"89",X"98",X"88",X"88",X"89",X"89",X"88",X"88",X"89",X"88",X"88",X"88",X"89",X"89",X"98",X"88",
X"99",X"89",X"88",X"88",X"98",X"99",X"88",X"89",X"88",X"99",X"88",X"89",X"98",X"88",X"88",X"89",
X"98",X"99",X"98",X"88",X"98",X"99",X"98",X"88",X"99",X"88",X"99",X"89",X"98",X"99",X"88",X"90",
X"99",X"88",X"88",X"88",X"99",X"89",X"88",X"89",X"89",X"99",X"89",X"99",X"89",X"89",X"88",X"88",
X"99",X"00",X"89",X"99",X"89",X"89",X"89",X"88",X"89",X"89",X"88",X"89",X"89",X"88",X"89",X"89",
X"88",X"88",X"88",X"89",X"88",X"89",X"88",X"88",X"98",X"99",X"98",X"90",X"88",X"89",X"88",X"89",
X"89",X"89",X"89",X"89",X"89",X"89",X"88",X"88",X"88",X"89",X"89",X"99",X"99",X"00",X"98",X"00",
X"88",X"89",X"89",X"98",X"89",X"98",X"89",X"88",X"89",X"88",X"88",X"88",X"89",X"89",X"90",X"90",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"D9",X"09",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"D9",X"00",X"D0",X"00",
X"09",X"09",X"09",X"09",X"09",X"99",X"00",X"DD",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"D0",X"00",X"D0",X"09",X"00",X"99",X"00",X"DD",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"55",X"55",X"55",X"99",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"99",X"55",X"55",
X"99",X"99",X"55",X"59",X"99",X"59",X"99",X"59",X"55",X"59",X"99",X"59",X"99",X"59",X"55",X"59",
X"99",X"99",X"55",X"55",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"55",X"55",X"99",X"55",X"55",
X"99",X"90",X"55",X"90",X"95",X"90",X"55",X"90",X"95",X"90",X"55",X"90",X"95",X"90",X"55",X"90",
X"99",X"09",X"55",X"95",X"95",X"55",X"95",X"59",X"59",X"55",X"55",X"99",X"95",X"55",X"95",X"99",
X"59",X"00",X"55",X"00",X"55",X"00",X"99",X"90",X"55",X"59",X"99",X"95",X"55",X"59",X"99",X"59",
X"55",X"99",X"99",X"55",X"90",X"95",X"90",X"95",X"90",X"55",X"90",X"55",X"99",X"59",X"95",X"99",
X"99",X"59",X"55",X"59",X"99",X"99",X"59",X"00",X"55",X"00",X"55",X"99",X"95",X"55",X"99",X"99",
X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",X"00",X"09",
X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"55",X"50",X"99",X"95",X"55",X"55",X"05",X"05",X"05",X"05",X"05",X"05",X"05",X"05",X"00",X"00",
X"00",X"50",X"50",X"95",X"95",X"95",X"59",X"95",X"05",X"95",X"00",X"95",X"00",X"95",X"00",X"50",
X"AA",X"AA",X"44",X"AA",X"4A",X"AA",X"04",X"AA",X"4A",X"AA",X"AD",X"AD",X"AA",X"AA",X"AA",X"AA",
X"AA",X"AA",X"DA",X"AD",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",
X"AA",X"AD",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AD",X"DA",X"AA",X"AD",X"AA",X"AA",
X"AA",X"AA",X"AD",X"AA",X"AA",X"AD",X"AA",X"AA",X"AD",X"55",X"AA",X"66",X"AA",X"66",X"AA",X"66",
X"AA",X"AA",X"AD",X"AA",X"AA",X"AA",X"4A",X"DA",X"4A",X"AA",X"04",X"44",X"00",X"00",X"00",X"00",
X"AA",X"66",X"A5",X"66",X"58",X"56",X"A5",X"56",X"4A",X"85",X"04",X"85",X"00",X"88",X"00",X"88",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"04",X"88",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"14",X"00",X"11",X"00",X"11",X"44",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"44",X"44",
X"00",X"00",X"40",X"00",X"14",X"00",X"14",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"88",X"88",X"77",X"77",X"88",X"85",X"55",X"53",X"22",X"23",X"99",X"93",X"BB",X"93",X"99",X"93",
X"40",X"00",X"74",X"00",X"40",X"04",X"44",X"43",X"22",X"23",X"99",X"93",X"BB",X"93",X"99",X"93",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"22",X"23",X"54",X"43",X"80",X"43",
X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"B9",X"B3",X"22",X"23",X"44",X"43",X"00",X"43",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",
X"44",X"00",X"66",X"00",X"66",X"40",X"66",X"64",X"66",X"64",X"66",X"40",X"66",X"00",X"44",X"00",
X"00",X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"66",X"00",X"44",
X"44",X"66",X"00",X"66",X"00",X"46",X"00",X"04",X"00",X"04",X"00",X"46",X"00",X"66",X"44",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"00",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"00",X"66",X"00",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",
X"AA",X"AA",X"99",X"AA",X"AA",X"AA",X"99",X"AA",X"44",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"4A",
X"47",X"4A",X"47",X"99",X"47",X"AA",X"47",X"44",X"77",X"99",X"74",X"94",X"49",X"4A",X"49",X"99",
X"4A",X"4A",X"94",X"4A",X"AA",X"4A",X"99",X"4A",X"AA",X"4A",X"99",X"4A",X"AA",X"4A",X"99",X"4A",
X"49",X"AA",X"49",X"99",X"49",X"AA",X"49",X"99",X"44",X"AA",X"44",X"99",X"44",X"AA",X"44",X"99",
X"AA",X"AA",X"49",X"99",X"A4",X"AA",X"4A",X"99",X"4A",X"AA",X"94",X"49",X"A4",X"4A",X"94",X"A4",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"94",X"AA",X"4A",X"99",X"AA",X"AA",X"44",X"99",X"B4",
X"AA",X"44",X"99",X"74",X"AA",X"74",X"99",X"74",X"AA",X"74",X"94",X"74",X"A4",X"4A",X"94",X"49",
X"AA",X"B4",X"99",X"49",X"AA",X"4A",X"99",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",
X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",X"4A",X"AA",X"B4",X"99",X"BB",X"AA",X"BB",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"4B",X"AA",X"4B",X"99",X"A4",X"AA",X"94",X"99",X"AA",X"AA",X"99",X"99",X"AA",X"AA",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"66",X"66",X"99",X"99",X"77",X"77",X"22",X"22",X"66",X"66",X"11",X"11",X"00",X"00",X"00",
X"66",X"00",X"7C",X"00",X"2C",X"00",X"6C",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",
X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",
X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",X"01",X"73",
X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",X"95",X"BD",
X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",
X"26",X"00",X"26",X"00",X"26",X"00",X"26",X"00",X"26",X"00",X"26",X"00",X"26",X"00",X"26",X"00",
X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"48",X"CA",X"C4",X"C5",X"CC",X"C5",X"1C",X"51",X"11",
X"26",X"00",X"26",X"00",X"26",X"00",X"7C",X"11",X"77",X"66",X"33",X"11",X"11",X"33",X"C1",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"02",X"00",X"21",X"00",X"12",X"00",X"21",
X"10",X"12",X"20",X"21",X"10",X"12",X"20",X"21",X"12",X"12",X"21",X"21",X"12",X"00",X"21",X"21",
X"00",X"12",X"00",X"21",X"02",X"12",X"01",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"20",
X"12",X"12",X"21",X"20",X"12",X"06",X"21",X"66",X"10",X"66",X"06",X"66",X"66",X"66",X"66",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"40",X"12",X"40",X"21",X"40",X"12",
X"44",X"44",X"00",X"04",X"66",X"60",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"40",X"21",X"40",X"12",X"40",X"21",X"02",X"12",X"01",X"21",X"02",X"12",X"21",X"21",X"12",X"12",
X"44",X"40",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"00",X"44",X"00",X"44",X"20",X"44",X"10",X"44",X"20",X"44",X"10",X"44",X"21",X"44",X"12",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"33",X"40",X"33",
X"44",X"44",X"44",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"40",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"00",X"40",X"00",X"00",X"00",
X"44",X"44",X"44",X"00",X"44",X"00",X"44",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"30",X"33",X"30",X"33",X"00",
X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"40",X"00",X"40",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"40",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"40",X"00",X"40",X"00",X"40",X"00",X"40",X"00",X"40",X"00",X"40",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"11",X"11",X"44",X"41",X"14",X"41",X"41",X"41",X"44",X"41",X"44",X"41",X"44",X"11",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"33",X"44",X"33",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"77",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"74",X"44",
X"44",X"44",X"44",X"44",X"44",X"77",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"34",X"44",X"34",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"33",X"34",X"33",X"44",X"44",X"34",X"44",X"43",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"34",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"40",X"44",X"40",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"40",X"00",X"40",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"30",X"00",X"30",X"00",X"30",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"04",X"44",X"00",X"44",X"00",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"00",X"44",
X"11",X"11",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",
X"00",X"44",X"00",X"24",X"00",X"99",X"02",X"99",X"29",X"99",X"99",X"99",X"99",X"99",X"99",X"99",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"11",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"40",X"CC",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"24",X"44",X"24",X"44",X"24",X"44",X"44",X"44",X"24",X"44",X"42",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"02",X"00",X"02",X"00",X"02",X"00",X"29",X"00",X"29",X"00",X"29",
X"99",X"29",X"92",X"20",X"92",X"05",X"29",X"55",X"29",X"CC",X"00",X"55",X"CC",X"55",X"CC",X"CC",
X"00",X"20",X"00",X"0C",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"CC",X"CC",X"CC",X"C5",X"C5",X"55",X"5C",X"55",X"55",X"CC",X"55",X"C5",X"50",X"00",X"00",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"55",X"CC",X"50",X"CC",X"0F",X"CC",X"FF",X"CC",X"FF",
X"C0",X"44",X"0F",X"04",X"FF",X"F0",X"FF",X"F0",X"FF",X"00",X"00",X"00",X"FF",X"00",X"F0",X"00",
X"C0",X"FF",X"C0",X"FF",X"0F",X"FF",X"0F",X"F0",X"FF",X"F0",X"FF",X"0F",X"FF",X"0F",X"FF",X"FF",
X"0F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"F0",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"11",X"11",
X"00",X"44",X"00",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"00",X"44",X"00",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"FF",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"F0",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"44",X"00",X"44",X"04",X"44",X"04",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"44",X"00",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",
X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"30",X"44",X"33",X"44",X"33",X"04",X"33",X"30",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"66",X"00",X"60",X"11",X"00",X"00",X"11",X"33",X"00",X"33",X"33",X"30",X"33",X"00",X"33",X"00",
X"03",X"33",X"33",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"00",X"60",X"11",X"01",X"10",X"11",X"03",
X"66",X"01",X"60",X"11",X"00",X"11",X"11",X"10",X"11",X"03",X"11",X"33",X"11",X"33",X"10",X"33",
X"10",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",X"33",X"00",X"33",X"00",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"60",X"60",X"66",X"00",X"66",X"60",X"66",X"66",
X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"02",X"12",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"60",X"21",X"66",X"12",X"66",X"01",X"66",X"60",X"66",X"60",X"66",X"60",X"66",X"06",X"66",X"06",
X"21",X"06",X"12",X"66",X"21",X"66",X"10",X"66",X"20",X"66",X"06",X"66",X"06",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"66",X"01",X"66",X"11",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"06",X"44",X"66",X"44",X"66",X"40",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"00",X"30",X"00",X"30",
X"40",X"66",X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"66",
X"00",X"03",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"06",X"66",X"10",X"66",X"11",X"66",X"01",X"66",X"30",X"06",X"33",X"10",X"33",X"11",X"03",X"01",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"40",X"44",X"03",X"44",X"33",X"44",X"33",X"40",X"33",X"40",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"40",X"44",X"40",X"44",X"40",X"44",X"40",X"44",X"03",X"44",X"03",X"44",X"03",X"44",X"03",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"30",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"30",X"33",X"30",
X"03",X"30",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"03",X"00",X"00",X"00",X"00",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"00",X"30",X"00",X"33",X"00",X"33",X"00",
X"06",X"66",X"11",X"66",X"11",X"66",X"01",X"06",X"30",X"10",X"33",X"11",X"33",X"11",X"33",X"00",
X"66",X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"00",X"66",X"11",X"66",
X"33",X"33",X"33",X"33",X"03",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"00",X"00",X"00",
X"11",X"00",X"11",X"11",X"00",X"11",X"01",X"11",X"11",X"10",X"11",X"03",X"11",X"33",X"10",X"33",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"60",X"66",X"00",X"66",X"11",X"00",X"11",X"11",X"11",
X"66",X"11",X"60",X"11",X"01",X"10",X"11",X"03",X"11",X"33",X"11",X"33",X"10",X"33",X"03",X"33",
X"11",X"10",X"11",X"03",X"10",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",X"33",X"00",X"33",X"00",
X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"33",X"00",X"33",X"00",X"33",X"00",
X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"30",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",
X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"30",X"44",X"33",X"44",X"33",X"44",X"33",X"44",X"33",X"44",X"33",X"44",X"33",X"04",X"33",X"04",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"33",X"04",X"33",X"30",X"33",X"30",X"33",X"30",X"33",X"30",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"12",X"00",X"21",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"12",X"00",X"21",X"00",X"12",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"12",X"21",X"21",
X"00",X"12",X"00",X"21",X"02",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"17",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"77",X"88",X"21",X"21",
X"00",X"00",X"00",X"00",X"00",X"12",X"00",X"20",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"00",X"00",X"00",X"00",X"02",X"00",X"01",X"00",X"10",X"00",X"21",X"04",X"12",X"04",X"21",X"04",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"88",X"21",
X"12",X"04",X"21",X"04",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",X"12",X"44",X"21",X"44",
X"00",X"00",X"00",X"04",X"00",X"44",X"00",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"40",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"00",X"12",X"00",X"21",X"01",
X"02",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"02",X"21",X"01",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"1A",X"12",X"28",X"A7",X"12",X"88",X"21",X"71",X"12",X"17",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"A1",X"7A",X"12",X"80",X"21",X"10",X"88",X"80",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"A8",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"10",X"21",X"04",
X"10",X"44",X"20",X"44",X"04",X"44",X"04",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"44",X"21",X"44",X"12",X"44",X"20",X"44",X"10",X"44",X"20",X"44",X"10",X"44",X"20",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"24",X"44",X"24",X"44",X"24",X"44",X"44",X"44",X"24",X"44",X"42",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"02",X"12",X"20",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"44",X"12",X"4F",X"21",X"24",
X"12",X"12",X"21",X"21",X"12",X"00",X"21",X"44",X"12",X"44",X"40",X"44",X"40",X"44",X"04",X"44",
X"10",X"44",X"20",X"44",X"10",X"44",X"20",X"44",X"10",X"44",X"20",X"44",X"10",X"44",X"20",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"10",X"44",X"04",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"10",X"12",X"20",X"21",X"10",X"02",X"20",X"40",X"10",X"44",X"20",X"40",X"10",X"06",X"20",X"66",
X"12",X"12",X"21",X"21",X"12",X"12",X"21",X"21",X"12",X"12",X"01",X"21",X"60",X"12",X"66",X"00",
X"06",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"12",X"00",X"21",X"44",X"12",X"44",X"21",X"00",X"12",X"66",X"20",X"66",X"06",X"66",X"66",X"66",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"44",X"66",X"44",X"66",X"44",X"66",X"04",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"66",X"04",X"66",X"04",X"66",X"00",X"66",X"01",X"66",X"10",X"66",X"03",X"60",X"33",X"11",X"33",
X"44",X"44",X"44",X"42",X"44",X"44",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"44",X"44",X"44",
X"24",X"44",X"24",X"44",X"22",X"44",X"22",X"44",X"24",X"44",X"44",X"44",X"44",X"44",X"24",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"04",X"44",X"00",X"44",
X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"00",X"40",X"21",X"44",X"00",X"44",X"44",X"44",X"44",
X"44",X"44",X"44",X"44",X"00",X"00",X"00",X"02",X"20",X"21",X"12",X"00",X"01",X"21",X"40",X"12",
X"44",X"00",X"44",X"12",X"44",X"01",X"44",X"00",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"44",X"21",X"04",X"02",X"20",X"40",X"12",X"00",X"01",X"21",X"00",X"12",X"40",X"21",X"44",X"02");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity fg3_rom is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of fg3_rom is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",
X"FF",X"FF",X"CC",X"CC",X"DD",X"DC",X"EE",X"DC",X"FF",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",
X"FF",X"FF",X"CC",X"CC",X"ED",X"DD",X"EE",X"EE",X"EF",X"CC",X"EE",X"CC",X"EE",X"EE",X"EE",X"EE",
X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",
X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",
X"00",X"00",X"FF",X"00",X"CC",X"00",X"DC",X"FF",X"EE",X"CC",X"FF",X"CC",X"DC",X"DE",X"DC",X"DE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"00",X"CC",X"FF",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"EE",X"CC",X"FF",X"DC",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",
X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"DC",X"FF",X"DC",X"FF",
X"EE",X"FF",X"EE",X"CC",X"EE",X"DD",X"EE",X"EE",X"EE",X"FF",X"EE",X"CC",X"FF",X"DD",X"FF",X"EE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"FF",X"DC",X"FF",X"11",X"11",X"44",X"44",
X"FF",X"CC",X"DC",X"DD",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"23",X"33",X"32",X"33",X"33",X"32",X"33",X"23",X"33",X"23",X"33",X"32",X"32",X"33",X"23",X"33",
X"EE",X"DD",X"CC",X"DE",X"DF",X"DE",X"FC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"11",X"11",X"77",X"77",X"99",X"99",X"11",X"11",X"16",X"66",X"16",X"AB",X"16",X"5A",X"17",X"AB",
X"11",X"11",X"77",X"77",X"99",X"99",X"11",X"11",X"66",X"66",X"6B",X"AB",X"6A",X"BA",X"7B",X"AB",
X"DD",X"DD",X"CC",X"CC",X"FF",X"EF",X"18",X"EF",X"18",X"EF",X"17",X"EF",X"17",X"EF",X"1D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"EF",X"86",X"EF",X"8A",X"EF",X"7B",X"EF",X"7A",X"EF",X"7D",X"EE",
X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",
X"99",X"89",X"99",X"89",X"99",X"89",X"99",X"89",X"99",X"89",X"99",X"89",X"99",X"89",X"99",X"89",
X"88",X"88",X"99",X"99",X"74",X"74",X"88",X"88",X"77",X"77",X"88",X"88",X"99",X"99",X"88",X"88",
X"88",X"88",X"99",X"99",X"74",X"70",X"88",X"88",X"77",X"77",X"88",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"88",X"77",X"FF",X"77",X"CC",X"78",X"CC",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"88",X"88",X"77",X"77",X"99",X"99",X"22",X"22",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"CC",X"DD",
X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"DD",X"DF",X"EF",X"F1",X"11",X"F1",X"DD",X"1D",X"CC",
X"CC",X"FF",X"DD",X"11",X"FF",X"DD",X"11",X"CC",X"DD",X"EC",X"CC",X"1F",X"CC",X"C1",X"CC",X"CC",
X"DD",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"1D",X"CC",X"1D",X"CC",
X"11",X"11",X"BB",X"BB",X"22",X"22",X"00",X"64",X"11",X"11",X"BB",X"BB",X"22",X"22",X"00",X"64",
X"11",X"11",X"BB",X"BB",X"22",X"22",X"12",X"63",X"11",X"11",X"BB",X"BB",X"22",X"22",X"12",X"63",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"33",X"00",X"70",X"00",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",X"33",X"30",X"77",X"77",
X"97",X"97",X"17",X"17",X"77",X"77",X"97",X"97",X"17",X"17",X"77",X"77",X"79",X"99",X"79",X"11",
X"79",X"11",X"79",X"11",X"79",X"11",X"79",X"11",X"79",X"11",X"79",X"11",X"79",X"99",X"77",X"77",
X"00",X"00",X"FF",X"00",X"CC",X"00",X"CC",X"FF",X"EE",X"CC",X"FE",X"CC",X"DC",X"DE",X"DC",X"DE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"F0",X"CC",X"CF",X"EE",X"CC",
X"CC",X"FF",X"CC",X"CC",X"EE",X"CC",X"DC",X"DE",X"DC",X"FF",X"DC",X"FF",X"11",X"11",X"88",X"88",
X"00",X"FF",X"F0",X"CE",X"CF",X"E0",X"CC",X"00",X"EC",X"E0",X"FE",X"CC",X"11",X"1E",X"88",X"00",
X"77",X"77",X"91",X"91",X"77",X"77",X"08",X"08",X"08",X"08",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"11",X"11",X"77",X"77",X"88",X"80",X"88",X"00",X"11",X"00",X"00",X"00",X"00",X"00",
X"FF",X"77",X"CC",X"F7",X"CC",X"FF",X"CC",X"CF",X"FF",X"CC",X"EF",X"EC",X"FE",X"CD",X"FF",X"DE",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"F7",X"77",X"FF",X"77",X"CF",X"77",X"CC",X"F7",
X"99",X"EF",X"77",X"F9",X"11",X"99",X"FF",X"78",X"EE",X"17",X"EE",X"11",X"EE",X"FF",X"EE",X"EE",
X"EC",X"FF",X"CD",X"CF",X"DE",X"CC",X"EF",X"EC",X"F9",X"CD",X"99",X"DE",X"78",X"EF",X"17",X"F9",
X"97",X"97",X"17",X"17",X"87",X"87",X"97",X"97",X"17",X"17",X"87",X"87",X"97",X"97",X"17",X"17",
X"77",X"77",X"17",X"77",X"11",X"77",X"99",X"77",X"17",X"17",X"87",X"11",X"97",X"91",X"17",X"17",
X"87",X"87",X"97",X"97",X"17",X"17",X"87",X"87",X"97",X"97",X"17",X"17",X"87",X"DE",X"88",X"DE",
X"77",X"77",X"77",X"77",X"77",X"77",X"F7",X"77",X"CF",X"77",X"CC",X"77",X"EC",X"F7",X"CF",X"CF",
X"DE",X"CC",X"EF",X"EC",X"F9",X"CD",X"99",X"DE",X"78",X"EF",X"17",X"F9",X"71",X"99",X"77",X"78",
X"FF",X"FF",X"FC",X"CC",X"CF",X"CD",X"CC",X"DE",X"EC",X"FE",X"CD",X"CF",X"DE",X"CC",X"EF",X"EC",
X"77",X"17",X"77",X"71",X"77",X"77",X"17",X"77",X"71",X"7D",X"79",X"77",X"79",X"DE",X"79",X"DE",
X"F9",X"CD",X"99",X"DE",X"78",X"EF",X"17",X"F9",X"71",X"99",X"77",X"78",X"77",X"DE",X"77",X"DE",
X"FF",X"FF",X"CC",X"CC",X"DD",X"CD",X"EE",X"DE",X"FF",X"DE",X"DC",X"DE",X"DC",X"DE",X"FC",X"DE",
X"FF",X"FF",X"CC",X"CC",X"DD",X"CD",X"EE",X"DE",X"FF",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"CF",X"DE",X"CC",X"DE",X"CC",X"FF",X"FD",X"CC",X"EF",X"CC",X"F1",X"EE",X"99",X"CE",X"87",X"CE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"FC",X"DE",X"CF",X"DE",X"CC",X"FE",X"EC",X"CF",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"DE",X"CC",X"E1",
X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"ED",X"CC",X"ED",X"CC",
X"CC",X"1D",X"CD",X"CC",X"DE",X"CC",X"E1",X"CC",X"1D",X"CC",X"DD",X"CC",X"DC",X"CC",X"CC",X"CC",
X"EE",X"CC",X"1E",X"CC",X"1E",X"DD",X"D1",X"FF",X"DD",X"11",X"CD",X"DD",X"CD",X"DC",X"CD",X"DC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"DC",X"CD",X"DD",X"DE",X"FF",
X"CD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"DD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"E1",X"11",X"1D",X"DD",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",
X"CC",X"11",X"CC",X"C1",X"CC",X"C1",X"CC",X"DD",X"CC",X"DD",X"DD",X"DE",X"EE",X"EE",X"11",X"11",
X"CC",X"CC",X"CC",X"CD",X"CC",X"D1",X"CC",X"D1",X"CD",X"D1",X"DD",X"E1",X"EE",X"E1",X"11",X"11",
X"DC",X"DE",X"FF",X"DE",X"CC",X"DE",X"CC",X"FF",X"EE",X"CC",X"FE",X"CC",X"DC",X"DE",X"DC",X"DE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"FF",X"DE",X"CC",X"FE",X"CC",X"CF",X"EE",X"CC",
X"88",X"EE",X"88",X"DE",X"88",X"9D",X"88",X"88",X"88",X"88",X"81",X"11",X"17",X"17",X"17",X"17",
X"71",X"87",X"77",X"98",X"77",X"19",X"77",X"71",X"77",X"77",X"97",X"77",X"11",X"77",X"17",X"77",
X"87",X"87",X"97",X"97",X"17",X"17",X"17",X"17",X"87",X"87",X"17",X"97",X"17",X"DE",X"88",X"DE",
X"87",X"17",X"97",X"91",X"17",X"17",X"17",X"17",X"87",X"87",X"97",X"97",X"17",X"DE",X"88",X"DE",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"91",X"77",X"99",X"77",X"99",X"77",X"99",
X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"11",X"11",X"11",X"11",X"18",X"AA",X"B8",X"11",X"18",
X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"92",X"77",X"77",
X"11",X"18",X"11",X"18",X"BB",X"B8",X"11",X"18",X"11",X"18",X"22",X"28",X"22",X"22",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"91",X"77",X"99",X"77",X"99",X"77",X"99",
X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",
X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"99",X"77",X"92",X"77",X"77",
X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"22",X"77",X"22",X"77",X"77",X"77",
X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"FF",X"ED",X"FF",X"11",X"11",X"88",X"88",
X"ED",X"CC",X"ED",X"CC",X"ED",X"DE",X"ED",X"DE",X"ED",X"FF",X"ED",X"FF",X"11",X"11",X"88",X"88",
X"00",X"FF",X"FF",X"CC",X"CC",X"EE",X"CC",X"00",X"FF",X"CC",X"EF",X"FF",X"00",X"00",X"00",X"00",
X"AA",X"AA",X"B3",X"BB",X"B3",X"BB",X"33",X"00",X"33",X"00",X"FF",X"00",X"EE",X"00",X"00",X"00",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"44",X"FF",X"77",X"CC",X"11",X"CC",
X"77",X"77",X"77",X"77",X"77",X"77",X"F8",X"77",X"CF",X"66",X"CC",X"44",X"EC",X"F7",X"CF",X"CF",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"27",X"77",X"27",X"77",X"27",X"77",X"27",X"77",X"27",X"77",X"27",X"77",X"27",X"77",X"27",X"77",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"CC",X"CD",X"CC",X"DE",X"CC",X"E1",X"DD",X"E1",
X"1D",X"CC",X"1D",X"CC",X"DD",X"CC",X"DD",X"CC",X"DC",X"CC",X"CC",X"CC",X"DC",X"CC",X"ED",X"CC",
X"FF",X"1D",X"11",X"ED",X"DD",X"1E",X"CC",X"C1",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"1E",X"CC",X"11",X"DD",X"D1",X"FF",X"FF",X"11",X"11",X"1D",X"CD",X"DD",X"1D",X"DC",X"C1",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"D1",X"DC",X"D1",X"ED",X"D1",X"ED",X"D1",X"1E",X"D1",X"D1",X"D1",X"D1",X"D1",X"DD",X"D1",X"FF",
X"CC",X"CC",X"DD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"DD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"E1",X"11",X"11",X"DD",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",
X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"FF",X"CC",X"CC",X"CE",X"CC",X"DE",X"EE",X"DE",
X"FF",X"CC",X"CC",X"CE",X"CC",X"DE",X"EE",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"33",X"11",X"11",X"11",X"55",X"55",X"66",X"66",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"11",X"55",X"55",X"66",X"66",
X"77",X"77",X"91",X"91",X"77",X"77",X"98",X"98",X"98",X"98",X"99",X"99",X"89",X"89",X"88",X"88",
X"99",X"99",X"99",X"99",X"99",X"19",X"99",X"19",X"88",X"18",X"88",X"18",X"77",X"17",X"77",X"97",
X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED",X"FE",X"EF",X"FF",X"11",X"11",X"88",X"88",
X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"FE",X"EF",X"FF",X"11",X"11",X"88",X"88",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DF",X"DC",X"FC",X"DF",X"CC",
X"DC",X"DF",X"DC",X"FF",X"DC",X"CC",X"DF",X"CE",X"FC",X"EF",X"CC",X"F1",X"CE",X"DE",X"ED",X"CD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"FF",X"CC",X"CC",X"CC",
X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"CC",X"FC",X"CC",X"CC",X"DE",X"CE",X"DE",X"EE",X"DE",
X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"DE",X"DC",X"FF",X"FF",X"CC",X"CC",X"CC",
X"DC",X"DE",X"DC",X"DE",X"DC",X"FF",X"DF",X"CC",X"FC",X"CC",X"CC",X"DE",X"CE",X"DE",X"EE",X"DE",
X"00",X"00",X"00",X"00",X"00",X"02",X"00",X"29",X"00",X"9A",X"00",X"A0",X"00",X"00",X"00",X"00",
X"00",X"28",X"02",X"A0",X"08",X"00",X"89",X"A0",X"AA",X"A2",X"A9",X"29",X"22",X"7A",X"99",X"A9",
X"00",X"00",X"00",X"22",X"00",X"99",X"28",X"71",X"99",X"99",X"10",X"00",X"00",X"07",X"09",X"99",
X"0A",X"99",X"AA",X"99",X"29",X"99",X"87",X"99",X"98",X"AA",X"99",X"77",X"99",X"A8",X"88",X"07",
X"77",X"77",X"18",X"18",X"77",X"77",X"74",X"44",X"78",X"88",X"11",X"18",X"11",X"11",X"11",X"11",
X"81",X"FE",X"74",X"FF",X"17",X"1F",X"71",X"81",X"87",X"78",X"88",X"74",X"88",X"17",X"11",X"71",
X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"88",X"88",X"88",X"88",X"77",X"77",X"77",X"77",
X"11",X"87",X"91",X"88",X"99",X"18",X"99",X"91",X"99",X"99",X"88",X"99",X"88",X"88",X"77",X"88",
X"44",X"44",X"47",X"77",X"47",X"11",X"47",X"99",X"47",X"79",X"47",X"99",X"47",X"66",X"47",X"67",
X"44",X"44",X"77",X"77",X"11",X"11",X"66",X"66",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"66",X"66",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"99",X"91",X"66",X"91",X"76",X"91",X"77",X"91",X"77",X"91",
X"11",X"11",X"91",X"11",X"99",X"11",X"99",X"11",X"99",X"11",X"99",X"11",X"99",X"22",X"92",X"22",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"0D",X"DD",X"DD",X"CC",X"0F",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"44",X"44",X"47",X"77",X"47",X"11",X"47",X"88",X"47",X"88",X"47",X"87",X"47",X"89",X"47",X"89",
X"44",X"44",X"77",X"77",X"11",X"11",X"89",X"77",X"89",X"79",X"97",X"98",X"77",X"88",X"79",X"88",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"DD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"DD",
X"1D",X"CC",X"1D",X"CC",X"DD",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"DC",X"CC",X"ED",X"CC",
X"CC",X"CD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"ED",X"CC",X"1E",X"CC",X"1E",X"DD",X"D1",X"FF",X"DD",X"11",X"CD",X"DD",X"CD",X"DC",X"CC",X"DC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"DD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"DD",
X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1E",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"11",X"CC",X"D1",X"DD",X"D1",X"DD",X"DD",X"EE",X"CD",X"11",X"CC",X"DD",X"CC",X"DC",X"CC",X"DC",
X"47",X"89",X"47",X"97",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"97",X"47",X"89",
X"98",X"88",X"99",X"88",X"77",X"88",X"77",X"98",X"77",X"98",X"77",X"88",X"99",X"88",X"98",X"88",
X"47",X"89",X"47",X"89",X"47",X"97",X"46",X"89",X"47",X"88",X"47",X"44",X"47",X"67",X"41",X"11",
X"79",X"88",X"77",X"88",X"97",X"98",X"89",X"79",X"89",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"88",X"88",X"88",X"89",X"88",X"97",X"88",X"77",X"88",X"77",X"88",X"97",X"88",X"89",X"88",X"88",
X"77",X"84",X"77",X"94",X"97",X"74",X"77",X"74",X"77",X"74",X"97",X"74",X"77",X"94",X"77",X"84",
X"88",X"88",X"88",X"89",X"88",X"97",X"99",X"77",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"77",X"84",X"77",X"84",X"99",X"84",X"88",X"84",X"88",X"84",X"44",X"44",X"77",X"77",X"11",X"11",
X"EE",X"EE",X"CC",X"CC",X"FF",X"FF",X"17",X"DF",X"17",X"DF",X"17",X"DF",X"17",X"DF",X"1E",X"DD",
X"EE",X"EE",X"CC",X"CC",X"FF",X"FF",X"88",X"DF",X"88",X"DF",X"88",X"DF",X"88",X"DF",X"8E",X"DD",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"99",X"77",X"88",X"97",X"88",X"89",X"88",X"88",
X"44",X"44",X"77",X"77",X"11",X"14",X"88",X"84",X"88",X"84",X"99",X"84",X"77",X"84",X"77",X"84",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"78",X"EF",X"78",X"EF",X"78",X"EF",X"78",X"EF",X"7D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"99",X"EF",X"99",X"EF",X"99",X"EF",X"99",X"EF",X"9D",X"EE",
X"FF",X"77",X"CC",X"F7",X"CC",X"FF",X"EE",X"CF",X"FF",X"CC",X"11",X"EC",X"77",X"FE",X"77",X"1F",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"F7",X"77",X"FF",X"77",X"CF",X"77",X"CC",X"F7",
X"88",X"71",X"77",X"77",X"11",X"87",X"FF",X"78",X"EE",X"17",X"EE",X"11",X"EE",X"FF",X"EE",X"EE",
X"EC",X"FF",X"FE",X"CF",X"1F",X"CC",X"71",X"EC",X"77",X"FE",X"87",X"1F",X"78",X"71",X"17",X"77",
X"97",X"97",X"17",X"17",X"97",X"97",X"97",X"97",X"17",X"17",X"97",X"97",X"97",X"97",X"17",X"17",
X"77",X"77",X"17",X"77",X"11",X"77",X"99",X"77",X"17",X"17",X"97",X"11",X"97",X"91",X"17",X"17",
X"97",X"97",X"97",X"97",X"17",X"17",X"97",X"97",X"97",X"97",X"17",X"17",X"97",X"DE",X"97",X"DE",
X"77",X"77",X"97",X"77",X"99",X"77",X"F9",X"77",X"CF",X"97",X"CC",X"DD",X"EC",X"FE",X"FE",X"CF",
X"44",X"44",X"18",X"88",X"17",X"77",X"17",X"99",X"17",X"48",X"17",X"48",X"17",X"84",X"17",X"84",
X"44",X"44",X"18",X"88",X"77",X"77",X"99",X"99",X"48",X"49",X"48",X"49",X"84",X"89",X"84",X"89",
X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"91",
X"78",X"90",X"78",X"90",X"78",X"90",X"78",X"90",X"78",X"90",X"78",X"90",X"78",X"90",X"78",X"90",
X"44",X"44",X"47",X"77",X"47",X"99",X"47",X"97",X"47",X"97",X"47",X"77",X"47",X"77",X"47",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"77",X"77",X"77",X"99",X"77",X"77",X"77",X"79",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"99",X"77",X"77",X"77",X"88",X"99",X"88",X"79",
X"44",X"44",X"77",X"77",X"29",X"99",X"77",X"29",X"77",X"99",X"77",X"79",X"77",X"79",X"77",X"72",
X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",
X"79",X"79",X"79",X"87",X"97",X"88",X"97",X"88",X"97",X"99",X"97",X"99",X"79",X"97",X"79",X"78",
X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"97",X"47",X"97",X"47",X"99",X"47",X"77",X"41",X"11",
X"77",X"78",X"77",X"77",X"77",X"99",X"77",X"77",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"88",X"77",X"88",X"97",X"87",X"99",X"99",X"99",X"98",X"88",X"97",X"88",X"99",X"87",X"99",X"77",
X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",
X"99",X"79",X"99",X"99",X"77",X"77",X"99",X"77",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"77",X"72",X"77",X"79",X"77",X"79",X"77",X"99",X"77",X"29",X"29",X"99",X"77",X"77",X"11",X"11",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"0D",X"EE",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"99",X"77",X"77",X"77",X"88",X"99",X"88",X"79",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",X"77",X"74",
X"00",X"27",X"02",X"98",X"00",X"A9",X"00",X"A9",X"00",X"98",X"02",X"89",X"00",X"87",X"0A",X"79",
X"00",X"00",X"00",X"00",X"27",X"00",X"99",X"00",X"AA",X"70",X"00",X"80",X"00",X"80",X"20",X"00",
X"0A",X"9A",X"0A",X"89",X"0A",X"88",X"0A",X"AA",X"00",X"A2",X"00",X"A2",X"02",X"A8",X"28",X"AA",
X"00",X"00",X"98",X"00",X"99",X"92",X"A7",X"A9",X"99",X"99",X"90",X"00",X"AA",X"07",X"99",X"99",
X"FF",X"FF",X"CC",X"CC",X"DD",X"DD",X"EE",X"EE",X"FC",X"CF",X"0C",X"CF",X"0E",X"EF",X"0E",X"EF",
X"FF",X"FF",X"CC",X"CC",X"CC",X"DD",X"CC",X"EE",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",
X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",
X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",
X"77",X"77",X"11",X"27",X"98",X"79",X"79",X"91",X"87",X"18",X"27",X"98",X"79",X"98",X"91",X"79",
X"77",X"77",X"11",X"27",X"98",X"79",X"79",X"91",X"87",X"18",X"27",X"98",X"79",X"98",X"91",X"79",
X"18",X"87",X"98",X"27",X"98",X"79",X"79",X"91",X"87",X"18",X"27",X"98",X"77",X"78",X"99",X"99",
X"18",X"87",X"98",X"27",X"98",X"79",X"79",X"91",X"87",X"18",X"97",X"98",X"77",X"78",X"99",X"99",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"64",X"77",X"64",X"77",X"64",X"77",X"64",X"77",X"64",X"77",X"64",X"77",X"64",X"77",X"64",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"44",X"44",X"77",X"77",X"11",X"11",
X"77",X"94",X"77",X"94",X"76",X"94",X"66",X"94",X"99",X"94",X"44",X"44",X"77",X"77",X"11",X"11",
X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",
X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",X"DC",X"CD",
X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",
X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",X"EF",X"CE",
X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",X"0F",X"FF",X"0C",X"CF",X"0D",X"DF",X"0E",X"EF",
X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"CC",X"EF",X"FF",X"EF",X"FF",X"EF",X"FF",X"FF",
X"00",X"00",X"00",X"EF",X"00",X"CC",X"00",X"EC",X"00",X"CE",X"0C",X"EE",X"0E",X"11",X"00",X"88",
X"00",X"FC",X"FF",X"CC",X"CC",X"CE",X"CC",X"CE",X"EE",X"FE",X"FF",X"FF",X"11",X"11",X"88",X"88",
X"02",X"27",X"00",X"19",X"00",X"72",X"00",X"81",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"91",X"91",X"77",X"77",X"18",X"18",X"18",X"18",X"11",X"11",X"11",X"11",X"11",X"11",
X"EF",X"F1",X"EF",X"18",X"EF",X"84",X"EF",X"77",X"E1",X"71",X"18",X"17",X"84",X"78",X"77",X"88",
X"77",X"77",X"71",X"81",X"17",X"77",X"78",X"44",X"88",X"88",X"88",X"11",X"11",X"11",X"11",X"11",
X"71",X"81",X"17",X"11",X"78",X"19",X"88",X"99",X"88",X"99",X"99",X"98",X"98",X"88",X"88",X"88",
X"11",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"98",X"88",X"88",X"88",X"88",X"77",X"77",X"77",
X"88",X"88",X"09",X"99",X"00",X"47",X"00",X"88",X"07",X"77",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"70",X"77",X"00",X"DD",X"FF",X"EE",X"CC",X"DD",X"CC",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"FF",X"CC",X"CC",X"DD",X"CD",X"EE",X"FF",X"FF",X"CC",X"EF",X"CC",X"FF",X"EF",X"CC",X"FF",
X"FF",X"EF",X"CC",X"FF",X"CC",X"E1",X"EF",X"DE",X"FF",X"CF",X"E1",X"F8",X"DE",X"87",X"CF",X"11",
X"CC",X"E1",X"EF",X"DE",X"EF",X"CF",X"DE",X"F8",X"CF",X"87",X"F7",X"11",X"88",X"DE",X"87",X"DE",
X"F8",X"77",X"87",X"77",X"11",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"DE",X"77",X"DE",
X"DE",X"91",X"CF",X"17",X"F8",X"77",X"99",X"77",X"11",X"77",X"77",X"77",X"77",X"71",X"7D",X"19",
X"77",X"D9",X"77",X"98",X"77",X"88",X"79",X"88",X"98",X"11",X"81",X"19",X"11",X"19",X"19",X"19",
X"77",X"99",X"77",X"19",X"11",X"19",X"19",X"19",X"89",X"99",X"19",X"19",X"19",X"DE",X"99",X"DE",
X"99",X"99",X"19",X"19",X"19",X"19",X"19",X"19",X"99",X"99",X"19",X"19",X"19",X"DE",X"88",X"DE",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"7F",X"77",X"FF",
X"77",X"7F",X"77",X"FF",X"77",X"CC",X"7F",X"CC",X"FF",X"EE",X"CC",X"FF",X"CC",X"11",X"EE",X"77",
X"77",X"CC",X"7F",X"CC",X"FF",X"EE",X"CC",X"FF",X"CC",X"11",X"EE",X"77",X"FF",X"77",X"11",X"88",
X"FF",X"77",X"11",X"88",X"77",X"77",X"77",X"71",X"88",X"1F",X"77",X"FE",X"11",X"EE",X"FF",X"EE",
X"88",X"88",X"77",X"77",X"17",X"97",X"17",X"17",X"17",X"17",X"87",X"87",X"87",X"87",X"17",X"17",
X"88",X"88",X"77",X"77",X"97",X"99",X"17",X"18",X"17",X"18",X"87",X"88",X"87",X"88",X"17",X"18",
X"88",X"88",X"87",X"77",X"87",X"97",X"87",X"17",X"87",X"17",X"87",X"87",X"87",X"87",X"87",X"17",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"87",X"17",X"87",X"17",X"87",X"77",X"87",X"97",X"87",X"17",X"87",X"17",X"87",X"17",X"87",X"17",
X"17",X"17",X"17",X"17",X"77",X"77",X"97",X"97",X"17",X"17",X"17",X"17",X"17",X"17",X"17",X"17",
X"87",X"77",X"87",X"97",X"87",X"17",X"87",X"17",X"87",X"17",X"87",X"87",X"87",X"77",X"89",X"99",
X"77",X"77",X"97",X"97",X"17",X"17",X"17",X"17",X"17",X"17",X"87",X"87",X"77",X"77",X"99",X"99",
X"17",X"18",X"17",X"18",X"77",X"77",X"97",X"98",X"17",X"18",X"17",X"18",X"17",X"18",X"17",X"18",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"97",X"98",X"17",X"18",X"17",X"18",X"17",X"18",X"87",X"88",X"77",X"77",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",X"47",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"47",X"67",X"47",X"66",X"47",X"99",X"47",X"79",X"47",X"99",X"47",X"44",X"47",X"77",X"11",X"11",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"44",X"44",X"77",X"77",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"66",X"EE",X"EE",X"CC",X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"97",X"66",X"E9",X"EE",X"C9",X"CC",X"B9",X"EB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"66",X"87",X"EE",X"87",X"CC",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"BB",X"ED",X"BB",X"EE",X"BB",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"D7",X"DD",X"0D",X"BB",X"0D",X"BB",X"7D",X"BB",X"8D",X"BB",X"8D",X"BB",X"8D",X"BB",X"BD",
X"DD",X"D8",X"DD",X"88",X"BB",X"88",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"BB",
X"BD",X"DD",X"DD",X"DD",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"66",X"99",X"EE",X"99",X"DD",X"D9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"8B",X"66",X"BE",X"EE",X"BE",X"DD",X"BE",X"BB",X"ED",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"D9",X"D9",X"D9",X"DA",X"B9",X"BA",X"B9",X"BA",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"97",X"BB",X"97",X"BB",X"97",X"BB",X"97",X"7B",X"97",X"7B",X"97",X"7B",X"97",X"7B",X"97",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"7B",X"97",X"C7",X"C9",X"B7",X"79",X"B7",X"79",X"B7",X"79",X"B7",X"79",X"B7",X"79",X"87",X"79",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"EE",X"B7",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"87",X"88",X"77",X"BB",X"87",
X"BB",X"DD",X"BB",X"DD",X"BB",X"BB",X"BD",X"BB",X"BD",X"BD",X"BD",X"BD",X"BD",X"DB",X"BD",X"DB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",
X"DD",X"D8",X"DD",X"88",X"BB",X"88",X"9B",X"B8",X"BD",X"B8",X"BB",X"B8",X"BB",X"B8",X"9B",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",
X"BB",X"ED",X"BB",X"EE",X"BB",X"BE",X"BE",X"EB",X"BE",X"EB",X"BE",X"EB",X"BE",X"EB",X"BE",X"EB",
X"DD",X"DE",X"DD",X"ED",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",
X"BB",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"8D",X"D8",X"D8",X"88",X"B8",X"88",X"B8",X"B8",X"B8",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"9B",X"BB",X"9B",X"BB",
X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"CB",X"BB",
X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",X"B9",X"9B",
X"BB",X"ED",X"BB",X"EE",X"BB",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",X"BE",
X"DE",X"ED",X"DD",X"DD",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"BB",X"BB",
X"EE",X"BE",X"EE",X"BE",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",
X"D9",X"D8",X"D9",X"88",X"BB",X"88",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"BB",
X"9B",X"BE",X"9B",X"BE",X"B9",X"BE",X"B9",X"BE",X"B9",X"BE",X"B9",X"BE",X"B9",X"BE",X"B9",X"BE",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"22",X"66",X"2E",X"EE",X"EE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"66",X"66",X"EE",X"EE",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"97",X"66",X"E9",X"EE",X"C9",X"CC",X"B9",X"EB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"66",X"87",X"EE",X"87",X"CC",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",X"EB",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",X"B9",X"EB",
X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",
X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",
X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",
X"BB",X"66",X"BE",X"EE",X"EE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BD",X"97",X"DD",X"99",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"66",X"88",X"EE",X"88",X"DD",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"66",X"66",X"EE",X"E8",X"DD",X"88",X"BB",X"B8",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",
X"BB",X"BB",X"66",X"66",X"EE",X"EE",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BE",X"BB",X"BE",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"66",X"66",X"EE",X"EE",X"DD",X"D9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"66",X"99",X"EE",X"99",X"ED",X"98",X"BB",X"98",X"BB",X"98",X"BB",X"98",X"BB",X"98",X"BB",X"98",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"66",X"66",X"EE",X"EE",X"EE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BD",X"97",X"DD",X"99",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"66",X"68",X"EE",X"88",X"DD",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"EE",X"9B",X"EE",X"99",X"DD",X"D9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"7B",X"EE",X"BE",X"EE",X"BE",X"DD",X"BE",X"BB",X"ED",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BB",X"EE",X"BE",X"EE",X"EE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BD",X"97",X"DD",X"99",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"EE",X"88",X"EE",X"88",X"DD",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"BB",X"EE",X"BB",X"EE",X"BE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"EE",X"EE",X"EE",X"EE",X"CC",X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"97",X"EE",X"E9",X"EE",X"C9",X"CC",X"B9",X"EB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"88",X"EE",X"88",X"CC",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"EE",X"EE",X"EE",X"EE",X"EE",X"ED",X"EE",X"DB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BD",X"97",X"DD",X"99",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"EE",X"88",X"EE",X"88",X"DD",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",X"BB",X"87",
X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",X"DB",X"88",
X"66",X"9B",X"EE",X"99",X"DD",X"D9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"7B",X"66",X"BE",X"EE",X"BE",X"DD",X"BE",X"BB",X"ED",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"97",X"66",X"E9",X"EE",X"C9",X"CC",X"B9",X"EB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BD",X"D7",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",
X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",
X"22",X"EE",X"22",X"66",X"2E",X"66",X"C6",X"66",X"C6",X"CC",X"C6",X"EE",X"CC",X"EE",X"22",X"EE",
X"2E",X"EE",X"66",X"66",X"DE",X"EE",X"EE",X"EE",X"DE",X"EE",X"DD",X"EE",X"ED",X"EE",X"EE",X"DE",
X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"CE",X"22",X"CC",X"22",X"CC",X"22",X"BB",X"22",X"22",
X"EE",X"DE",X"EE",X"DE",X"EE",X"DE",X"CC",X"CC",X"EC",X"BB",X"CB",X"B2",X"BB",X"22",X"22",X"22",
X"22",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"6D",X"66",X"DD",X"66",X"DD",
X"26",X"66",X"66",X"6E",X"6E",X"66",X"66",X"66",X"66",X"CD",X"66",X"CD",X"66",X"CC",X"66",X"CC",
X"66",X"66",X"DD",X"66",X"EE",X"DD",X"CC",X"EE",X"BB",X"CC",X"22",X"BC",X"22",X"BB",X"22",X"22",
X"66",X"CC",X"66",X"EC",X"EE",X"CE",X"CC",X"BC",X"CB",X"2B",X"B2",X"22",X"22",X"22",X"22",X"22",
X"22",X"66",X"66",X"6E",X"66",X"E6",X"66",X"66",X"66",X"EE",X"66",X"DE",X"66",X"DD",X"66",X"DD",
X"66",X"66",X"EE",X"66",X"66",X"66",X"66",X"66",X"66",X"E6",X"66",X"E6",X"EE",X"66",X"DD",X"66",
X"66",X"66",X"EE",X"66",X"DD",X"EE",X"CC",X"DD",X"BB",X"CD",X"22",X"BC",X"22",X"2B",X"22",X"22",
X"DD",X"66",X"66",X"66",X"66",X"6E",X"66",X"EE",X"EE",X"DD",X"DD",X"CC",X"CC",X"BB",X"BB",X"22",
X"00",X"66",X"66",X"00",X"60",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"00",X"00",X"60",X"00",X"06",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"66",X"00",X"66",X"66",X"00",X"00",X"00",X"00",X"00",
X"00",X"66",X"06",X"06",X"00",X"00",X"00",X"00",X"00",X"06",X"66",X"60",X"00",X"00",X"00",X"00",
X"40",X"14",X"04",X"10",X"10",X"24",X"14",X"20",X"10",X"41",X"14",X"0D",X"D0",X"24",X"2A",X"00",
X"10",X"10",X"41",X"11",X"01",X"24",X"41",X"10",X"1D",X"4D",X"11",X"41",X"AD",X"01",X"41",X"DD",
X"4D",X"4A",X"0A",X"0D",X"AE",X"4A",X"0A",X"AE",X"AE",X"AD",X"D0",X"EE",X"0E",X"EE",X"EE",X"EE",
X"0A",X"4D",X"AA",X"0A",X"AA",X"A4",X"D0",X"00",X"0E",X"AA",X"EE",X"EA",X"EE",X"E0",X"EE",X"0E",
X"41",X"01",X"14",X"10",X"20",X"4C",X"02",X"02",X"C4",X"C1",X"4C",X"40",X"10",X"0C",X"4C",X"C1",
X"10",X"C1",X"12",X"14",X"01",X"0C",X"CC",X"14",X"24",X"02",X"4C",X"4C",X"2C",X"0C",X"0C",X"CB",
X"0B",X"40",X"2B",X"B2",X"0B",X"40",X"B1",X"0B",X"B0",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B4",X"1B",X"BC",X"B4",X"B4",X"1B",X"B0",X"04",X"BB",X"B0",X"BB",X"4B",X"BB",X"0B",X"BB",X"BB",
X"00",X"14",X"0F",X"F0",X"10",X"24",X"C4",X"20",X"C0",X"FC",X"14",X"0C",X"B0",X"2F",X"2B",X"C0",
X"10",X"F0",X"4C",X"C1",X"0C",X"C4",X"4F",X"10",X"CC",X"4C",X"C1",X"4F",X"BC",X"0C",X"B1",X"CB",
X"4B",X"4B",X"0B",X"BF",X"BB",X"B0",X"4B",X"BF",X"0C",X"B0",X"BB",X"BB",X"BB",X"CB",X"BB",X"BB",
X"BB",X"4C",X"BB",X"0B",X"BC",X"B4",X"BB",X"B0",X"BB",X"FB",X"BB",X"0B",X"CB",X"BB",X"BB",X"BB",
X"F1",X"0F",X"14",X"10",X"2F",X"4F",X"02",X"02",X"A4",X"A1",X"4B",X"40",X"10",X"0B",X"4E",X"B1",
X"10",X"A1",X"F2",X"F4",X"01",X"0B",X"AA",X"14",X"24",X"02",X"4B",X"4F",X"2B",X"0A",X"0B",X"AE",
X"0F",X"40",X"2E",X"B2",X"0E",X"40",X"EF",X"0E",X"E0",X"BB",X"0E",X"EC",X"EE",X"EE",X"EE",X"EE",
X"F4",X"FB",X"BB",X"A4",X"EF",X"1B",X"E0",X"0F",X"EE",X"BE",X"EE",X"F0",X"EE",X"0E",X"00",X"EE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"1A",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",
X"B2",X"22",X"21",X"21",X"18",X"11",X"11",X"11",X"22",X"82",X"22",X"22",X"20",X"20",X"00",X"00",
X"22",X"22",X"21",X"21",X"11",X"11",X"11",X"81",X"22",X"22",X"21",X"22",X"20",X"20",X"00",X"00",
X"0A",X"0A",X"AA",X"AA",X"AB",X"AB",X"BB",X"BB",X"BB",X"CB",X"EA",X"CC",X"EE",X"CC",X"EE",X"AC",
X"0A",X"0A",X"AA",X"AA",X"AB",X"AB",X"BB",X"BC",X"BB",X"EC",X"BC",X"0A",X"CC",X"AC",X"DD",X"CC",
X"22",X"13",X"22",X"21",X"26",X"22",X"11",X"26",X"31",X"13",X"11",X"11",X"01",X"11",X"00",X"00",
X"21",X"21",X"21",X"22",X"02",X"22",X"12",X"22",X"31",X"01",X"11",X"11",X"00",X"11",X"00",X"00",
X"A0",X"00",X"AA",X"DA",X"BA",X"DA",X"BB",X"DB",X"CC",X"DB",X"CC",X"DB",X"BC",X"DB",X"BB",X"DB",
X"AA",X"00",X"AA",X"AA",X"BB",X"BB",X"BB",X"DB",X"BB",X"DB",X"AB",X"DB",X"AB",X"DD",X"AA",X"DD",
X"81",X"58",X"05",X"50",X"10",X"01",X"52",X"11",X"08",X"22",X"25",X"52",X"20",X"02",X"0C",X"C0",
X"18",X"15",X"50",X"50",X"01",X"05",X"11",X"10",X"25",X"11",X"50",X"05",X"0C",X"C0",X"BC",X"CC",
X"CC",X"BC",X"CC",X"CC",X"CB",X"CC",X"CC",X"CC",X"CC",X"CB",X"BC",X"CC",X"CC",X"BB",X"CC",X"CC",
X"CC",X"CC",X"CC",X"CB",X"CB",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CB",X"BB",X"CC",X"CC",X"CC",
X"22",X"22",X"33",X"31",X"11",X"11",X"11",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"1A",X"10",
X"21",X"22",X"12",X"33",X"12",X"11",X"11",X"00",X"01",X"00",X"01",X"AA",X"A1",X"AA",X"A1",X"AA",
X"1A",X"10",X"1C",X"1A",X"0C",X"1C",X"0C",X"1C",X"AC",X"1D",X"AC",X"0D",X"CE",X"0D",X"CE",X"AD",
X"B1",X"AA",X"B0",X"AA",X"BA",X"AA",X"BB",X"BA",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"CA",X"CC",X"CA",X"CA",X"CB",X"CA",X"CC",X"CB",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"AC",X"CC",X"A0",X"CC",X"BC",X"CA",X"CC",X"CA",X"CC",X"CA",X"CC",X"CB",X"CC",X"CC",X"CC",
X"BB",X"BB",X"BB",X"AB",X"BC",X"BA",X"BC",X"BA",X"BB",X"BC",X"BC",X"CB",X"CB",X"AB",X"CB",X"AB",
X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"CA",X"BB",X"BB",X"AB",X"BB",X"AB",X"BC",X"CC",X"CB",
X"BC",X"BB",X"CB",X"BB",X"CB",X"CC",X"BB",X"BB",X"BB",X"AB",X"BC",X"BA",X"BC",X"BA",X"BB",X"BB",
X"BB",X"CB",X"BB",X"BB",X"BB",X"BB",X"BB",X"AB",X"BC",X"BA",X"BC",X"BA",X"BB",X"BB",X"BB",X"BB",
X"72",X"07",X"14",X"10",X"27",X"47",X"02",X"02",X"14",X"21",X"4D",X"40",X"10",X"0D",X"4D",X"E1",
X"10",X"D1",X"71",X"74",X"01",X"01",X"12",X"14",X"24",X"02",X"12",X"47",X"2A",X"0B",X"0A",X"AB",
X"07",X"40",X"2D",X"D2",X"0D",X"40",X"D7",X"0E",X"E0",X"EE",X"EE",X"EE",X"DE",X"EE",X"DE",X"EE",
X"74",X"7A",X"BA",X"A4",X"B7",X"1A",X"B0",X"07",X"BB",X"A0",X"BB",X"7A",X"BB",X"0A",X"BB",X"BB",
X"CD",X"ED",X"DD",X"ED",X"DD",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"EE",X"EE",X"EE",X"EE",X"EE",
X"CC",X"EE",X"CC",X"EE",X"DC",X"ED",X"DC",X"ED",X"DC",X"ED",X"DC",X"EE",X"DC",X"EE",X"DC",X"EE",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",
X"DC",X"EE",X"DD",X"EE",X"DD",X"CE",X"DD",X"CD",X"DD",X"CD",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",
X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",
X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"DC",X"DD",X"DC",X"DD",X"DE",X"DD",X"DE",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"ED",X"EE",X"ED",X"EE",X"ED",X"EE",X"22",X"EE",
X"DD",X"DE",X"DD",X"DE",X"DD",X"CE",X"DD",X"CE",X"DD",X"EE",X"DD",X"EE",X"DC",X"1E",X"11",X"12",
X"AB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",
X"AA",X"DD",X"AA",X"DD",X"AA",X"DB",X"AA",X"DB",X"AA",X"DB",X"AA",X"DD",X"BA",X"DD",X"BA",X"DD",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",
X"BA",X"DD",X"BA",X"DD",X"BA",X"AD",X"BA",X"AB",X"BA",X"AB",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",
X"BD",X"DB",X"AD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DB",X"DD",X"DD",X"DD",X"DD",
X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"1D",X"DD",X"28",X"2D",
X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"AB",X"AA",X"AB",X"AA",X"AB",X"11",X"28",X"12",
X"DE",X"CD",X"DD",X"CE",X"DD",X"CE",X"DD",X"EE",X"DD",X"EE",X"DD",X"DD",X"BD",X"EE",X"DD",X"EE",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BD",X"BB",X"AD",X"BA",X"BD",X"AB",X"BD",X"BB",X"BD",
X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"DD",X"DD",X"EE",X"DD",X"EE",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BA",X"DE",X"AB",X"DE",
X"DD",X"DE",X"BD",X"DE",X"BD",X"DE",X"BD",X"DE",X"BD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DD",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BA",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"11",X"11",X"12",X"11",X"22",X"22",
X"AB",X"DD",X"BD",X"DD",X"BD",X"DD",X"BD",X"DB",X"B1",X"EB",X"B1",X"E1",X"21",X"12",X"22",X"22",
X"BB",X"DE",X"AB",X"DE",X"EA",X"DE",X"EA",X"DE",X"EA",X"DE",X"AA",X"EE",X"A0",X"EE",X"C0",X"EB",
X"EB",X"CB",X"EB",X"BB",X"BB",X"AA",X"BB",X"0A",X"BB",X"0D",X"BB",X"BD",X"BA",X"BC",X"BA",X"B0",
X"AA",X"AB",X"AC",X"AC",X"AA",X"AE",X"A0",X"EE",X"AA",X"EE",X"CB",X"EE",X"BD",X"EE",X"11",X"EE",
X"BB",X"B0",X"BB",X"BB",X"AC",X"BB",X"AA",X"BB",X"AA",X"AB",X"AE",X"AA",X"1E",X"AA",X"11",X"A1",
X"BB",X"DE",X"AB",X"DE",X"EA",X"DE",X"EA",X"DE",X"EA",X"DE",X"AA",X"EE",X"A0",X"EE",X"C0",X"EB",
X"EB",X"CB",X"EB",X"BB",X"BB",X"AA",X"BB",X"0A",X"BB",X"0D",X"BB",X"BD",X"BA",X"BC",X"BA",X"B0",
X"AA",X"AB",X"AC",X"AC",X"AA",X"AE",X"A0",X"EE",X"AA",X"EE",X"CB",X"EE",X"BD",X"EE",X"BD",X"EE",
X"BB",X"B0",X"BB",X"BB",X"AC",X"BB",X"AA",X"BB",X"AA",X"AB",X"AE",X"AA",X"AE",X"AA",X"AE",X"EA",
X"BB",X"BD",X"BC",X"DD",X"CA",X"DD",X"AA",X"AD",X"AA",X"AC",X"AE",X"AC",X"EE",X"AA",X"DE",X"AA",
X"EA",X"CC",X"EE",X"BC",X"EA",X"BC",X"AA",X"BC",X"A0",X"BC",X"BB",X"BC",X"BC",X"BB",X"CC",X"AB",
X"BD",X"A0",X"DD",X"0B",X"DD",X"BB",X"DD",X"BC",X"DD",X"CC",X"DD",X"CC",X"DB",X"CD",X"DB",X"DD",
X"CD",X"AA",X"DD",X"AA",X"DD",X"AA",X"DD",X"DA",X"DD",X"D0",X"BB",X"0D",X"AA",X"DD",X"AA",X"CD",
X"DD",X"DE",X"BD",X"DE",X"BD",X"DE",X"BD",X"DE",X"BD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DD",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BA",X"DD",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",
X"AB",X"DD",X"BD",X"DD",X"BD",X"DD",X"BD",X"DB",X"B1",X"EB",X"B1",X"E1",X"11",X"11",X"11",X"11",
X"DB",X"DB",X"BD",X"BD",X"CB",X"DB",X"DC",X"CC",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"CC",X"DD",
X"DB",X"DB",X"BD",X"CC",X"CC",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"CC",X"CC",X"DE",
X"CC",X"CC",X"DE",X"DE",X"ED",X"ED",X"DE",X"DE",X"ED",X"ED",X"CC",X"DE",X"DD",X"CC",X"BD",X"BD",
X"ED",X"ED",X"DE",X"DE",X"ED",X"ED",X"DE",X"DE",X"ED",X"CC",X"CC",X"BD",X"DB",X"DB",X"BD",X"BD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"60",X"00",X"06",X"00",X"00",X"06",X"00",X"60",X"00",X"60",X"00",X"06",X"06",X"00",X"60",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",
X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",
X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity fg4_rom is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of fg4_rom is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",
X"FF",X"FF",X"CC",X"CC",X"DD",X"CD",X"EE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",
X"FF",X"FF",X"CC",X"CC",X"DD",X"DD",X"EE",X"EE",X"FC",X"CF",X"FC",X"C0",X"FE",X"E0",X"FE",X"E0",
X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",
X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",
X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"00",X"CC",X"FF",X"EC",X"CC",X"FC",X"CC",X"EC",X"EE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"00",
X"EC",X"FF",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"CC",X"FF",X"EC",X"CC",X"EC",X"CC",X"EC",X"EE",X"EC",X"FF",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",
X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"FF",X"FE",X"FF",X"FF",X"FF",
X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",X"FF",X"F0",X"FC",X"C0",X"FD",X"D0",X"FE",X"E0",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EF",X"FE",X"FF",X"FE",X"11",X"11",X"44",X"44",
X"DD",X"EE",X"FC",X"CC",X"EC",X"DD",X"EC",X"FF",X"EC",X"FF",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"33",X"32",X"33",X"23",X"23",X"33",X"32",X"33",X"32",X"33",X"23",X"33",X"33",X"23",X"33",X"32",
X"CC",X"FF",X"DC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"11",X"11",X"77",X"77",X"99",X"99",X"11",X"11",X"66",X"66",X"AB",X"A6",X"BA",X"B6",X"AB",X"A8",
X"11",X"11",X"77",X"77",X"99",X"99",X"11",X"11",X"66",X"61",X"AB",X"61",X"B3",X"61",X"AB",X"61",
X"DD",X"DD",X"CC",X"CC",X"FD",X"FF",X"DC",X"69",X"DC",X"B9",X"DC",X"A8",X"DC",X"B8",X"CE",X"F8",
X"DD",X"DD",X"CC",X"CC",X"FD",X"FF",X"DC",X"91",X"DC",X"91",X"DC",X"81",X"DC",X"81",X"CE",X"F1",
X"08",X"88",X"08",X"88",X"08",X"88",X"08",X"88",X"08",X"88",X"08",X"88",X"08",X"88",X"18",X"88",
X"17",X"00",X"17",X"00",X"17",X"00",X"17",X"00",X"17",X"00",X"17",X"00",X"17",X"00",X"17",X"00",
X"88",X"88",X"99",X"99",X"74",X"74",X"88",X"88",X"77",X"77",X"88",X"88",X"99",X"90",X"88",X"88",
X"88",X"88",X"99",X"90",X"74",X"00",X"88",X"00",X"77",X"70",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"8F",X"77",X"FC",X"78",X"CC",X"8F",X"CE",X"FC",X"FC",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"88",X"88",X"77",X"77",X"99",X"99",X"22",X"22",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"CC",X"DD",X"CD",X"FF",
X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1E",X"DD",X"11",X"FF",X"11",X"11",X"DD",X"DD",X"DC",X"CC",
X"DD",X"11",X"FF",X"1D",X"11",X"1D",X"DD",X"D1",X"11",X"D1",X"C1",X"F1",X"CC",X"11",X"CC",X"CD",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"11",X"11",X"BB",X"BB",X"22",X"22",X"03",X"1B",X"11",X"11",X"BB",X"BB",X"22",X"22",X"03",X"1B",
X"11",X"11",X"BB",X"BB",X"22",X"22",X"80",X"78",X"11",X"11",X"BB",X"BB",X"22",X"22",X"80",X"78",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"44",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"44",X"44",X"33",X"00",X"77",X"77",
X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",X"77",X"77",X"99",X"97",X"11",X"17",
X"11",X"17",X"11",X"17",X"11",X"17",X"11",X"17",X"11",X"17",X"11",X"17",X"99",X"97",X"77",X"77",
X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"F0",X"CC",X"CF",X"EC",X"CC",X"EC",X"EC",X"EC",X"EE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"FF",X"CC",X"CC",
X"CF",X"00",X"CC",X"FF",X"EC",X"CC",X"EC",X"CC",X"EF",X"EE",X"FF",X"FF",X"11",X"11",X"88",X"88",
X"00",X"00",X"FE",X"00",X"CC",X"00",X"CE",X"00",X"EC",X"00",X"EE",X"C0",X"11",X"E0",X"88",X"00",
X"77",X"77",X"18",X"19",X"77",X"77",X"80",X"80",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"70",X"91",X"00",X"77",X"00",X"18",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"F7",X"77",X"FF",X"77",X"CC",X"77",X"CC",X"F7",X"EE",X"FF",X"EF",X"CC",X"FE",X"CC",X"EC",X"FE",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"F7",X"77",X"FF",X"77",
X"FD",X"1F",X"8F",X"1E",X"18",X"EC",X"11",X"FD",X"F1",X"8F",X"EF",X"88",X"EE",X"11",X"EE",X"FF",
X"CC",X"77",X"CC",X"F7",X"FE",X"FF",X"1F",X"CC",X"1E",X"CC",X"EC",X"FE",X"FD",X"1F",X"8F",X"1C",
X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",
X"77",X"71",X"77",X"77",X"77",X"77",X"17",X"77",X"91",X"77",X"99",X"77",X"91",X"17",X"91",X"91",
X"99",X"99",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",X"8C",X"98",X"EC",X"F8",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"FF",X"77",X"CC",X"77",X"CC",X"77",
X"FE",X"FF",X"FF",X"CC",X"1E",X"CC",X"EC",X"FE",X"FD",X"FF",X"8F",X"1E",X"78",X"EC",X"11",X"FD",
X"FF",X"FF",X"CC",X"CC",X"DC",X"DD",X"FF",X"EE",X"CC",X"FF",X"CC",X"FE",X"FE",X"FF",X"FF",X"CC",
X"77",X"8F",X"77",X"78",X"77",X"11",X"77",X"77",X"77",X"77",X"11",X"77",X"1C",X"77",X"EC",X"F7",
X"1E",X"CC",X"EC",X"FE",X"FD",X"FE",X"8F",X"EC",X"78",X"FD",X"11",X"7F",X"1C",X"88",X"EC",X"F8",
X"FF",X"FF",X"CC",X"CC",X"DC",X"DD",X"EC",X"EE",X"EC",X"FF",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"FF",X"FF",X"CC",X"CC",X"DC",X"DD",X"EC",X"EE",X"EC",X"FF",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"FC",X"FE",X"CF",X"FE",X"CC",X"FE",X"EC",X"FE",X"FE",X"CF",X"1F",X"CC",X"7D",X"EC",X"ED",X"FE",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"FC",X"FE",X"CF",X"FE",X"CC",X"FF",
X"CC",X"C1",X"CC",X"D1",X"CC",X"D1",X"CC",X"D1",X"CC",X"1D",X"CC",X"1D",X"CC",X"1E",X"CD",X"11",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"DE",X"D1",X"E1",X"DD",X"1C",X"CD",X"DC",X"CD",X"DC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"DC",X"CD",X"DC",X"DD",X"DD",X"FF",X"EF",X"11",X"11",X"DD",X"1D",X"DC",X"1D",X"CC",X"1D",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1D",X"CC",X"1E",X"DC",X"11",X"FD",
X"DD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"D1",X"CC",X"D1",X"CC",X"D1",X"CC",X"D1",X"CC",X"D1",
X"DD",X"1F",X"DD",X"D1",X"CC",X"DD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"1C",X"CC",X"CC",X"CC",X"CC",X"CC",X"1C",X"DD",X"1D",X"DE",X"1D",X"EE",X"1E",X"11",X"11",
X"CC",X"11",X"CC",X"1C",X"CC",X"CC",X"CD",X"CC",X"DD",X"CD",X"DD",X"DD",X"EE",X"EE",X"11",X"11",
X"EC",X"FE",X"EC",X"FE",X"FF",X"FE",X"CC",X"FE",X"CC",X"CF",X"EC",X"CC",X"EC",X"EC",X"EC",X"EE",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"FF",X"FE",X"CC",X"FF",X"CC",X"CC",
X"9D",X"77",X"89",X"77",X"88",X"77",X"88",X"97",X"11",X"89",X"91",X"18",X"91",X"11",X"91",X"91",
X"19",X"EC",X"71",X"FD",X"77",X"8F",X"77",X"99",X"77",X"11",X"77",X"77",X"17",X"77",X"91",X"D7",
X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"9C",X"91",X"EC",X"F8",
X"99",X"77",X"91",X"77",X"91",X"11",X"91",X"91",X"99",X"98",X"91",X"91",X"9C",X"91",X"EC",X"F9",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"11",X"77",X"1A",X"77",X"AA",X"77",X"1A",
X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"17",X"11",X"87",X"1A",X"87",X"BB",X"87",X"1B",X"87",
X"77",X"1A",X"77",X"1A",X"77",X"AA",X"77",X"1B",X"77",X"1B",X"77",X"22",X"77",X"22",X"77",X"77",
X"1B",X"87",X"1B",X"87",X"BB",X"87",X"1B",X"87",X"1B",X"87",X"22",X"87",X"22",X"87",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",
X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"18",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"11",X"77",X"22",X"77",X"22",X"77",X"77",
X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"28",X"77",X"77",X"77",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EF",X"FE",X"FF",X"FE",X"11",X"11",X"88",X"88",
X"EC",X"FF",X"EC",X"CC",X"EC",X"CC",X"EC",X"FE",X"EF",X"FE",X"FF",X"FE",X"11",X"11",X"88",X"88",
X"00",X"C0",X"FF",X"E0",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"FE",X"00",X"00",X"00",X"00",X"00",
X"AA",X"AA",X"3B",X"00",X"3B",X"00",X"33",X"00",X"33",X"00",X"FF",X"00",X"EE",X"00",X"00",X"00",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"8F",X"66",X"FC",X"44",X"CC",X"7F",X"CE",X"FC",X"FC",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"FF",X"44",X"CC",X"77",X"CC",X"11",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"CC",X"CD",X"CC",X"DD",X"CC",X"D1",X"CC",X"D1",X"CC",X"1D",X"CC",X"1D",X"CD",X"1E",X"DD",X"11",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"FF",X"DD",X"11",X"CD",X"DD",X"DD",X"CC",X"FF",X"CC",X"11",X"CC",X"C1",X"CC",X"CC",X"CC",X"CC",
X"DC",X"CC",X"ED",X"DD",X"1E",X"FF",X"11",X"11",X"11",X"DD",X"D1",X"CC",X"1D",X"CC",X"1D",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",
X"EE",X"CC",X"11",X"CC",X"D1",X"CC",X"DD",X"DC",X"DC",X"ED",X"DC",X"EF",X"ED",X"11",X"1F",X"1D",
X"CC",X"DD",X"DD",X"DE",X"FF",X"F1",X"11",X"11",X"DD",X"DD",X"CC",X"DD",X"CC",X"CD",X"CC",X"CD",
X"11",X"DD",X"DD",X"DC",X"DC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CC",X"FF",X"CC",
X"00",X"00",X"00",X"FF",X"00",X"CC",X"FF",X"CD",X"CC",X"EE",X"CC",X"FF",X"EC",X"FE",X"EC",X"FE",
X"CC",X"EE",X"CC",X"FE",X"DC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"33",X"11",X"33",X"11",X"11",X"55",X"55",X"66",X"66",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"11",X"55",X"55",X"66",X"66",
X"77",X"77",X"18",X"18",X"77",X"77",X"81",X"81",X"81",X"81",X"99",X"99",X"99",X"99",X"88",X"88",
X"99",X"99",X"99",X"99",X"91",X"99",X"91",X"99",X"81",X"88",X"81",X"88",X"71",X"77",X"79",X"77",
X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"CD",X"FE",X"FF",X"FE",X"FF",X"FE",X"11",X"11",X"88",X"88",
X"CD",X"FF",X"CD",X"FF",X"CD",X"FF",X"CD",X"FF",X"FF",X"FF",X"FF",X"FF",X"11",X"11",X"88",X"88",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FF",X"EC",X"FC",X"EF",X"CC",X"FC",X"CE",
X"EC",X"FC",X"EC",X"CC",X"FF",X"CE",X"CC",X"EF",X"CC",X"F1",X"CD",X"18",X"CC",X"88",X"EC",X"F9",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"CC",X"FC",X"CC",X"CC",X"EE",
X"00",X"00",X"00",X"FF",X"00",X"CC",X"FF",X"CC",X"CC",X"FE",X"CC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FE",X"EC",X"FF",X"EF",X"CC",X"FC",X"CC",X"CC",X"EE",
X"EC",X"FE",X"EC",X"FF",X"EC",X"CC",X"FF",X"CC",X"CC",X"DE",X"CC",X"FE",X"EC",X"FE",X"EC",X"FE",
X"00",X"00",X"00",X"00",X"00",X"78",X"00",X"99",X"02",X"AA",X"08",X"00",X"08",X"00",X"00",X"02",
X"27",X"00",X"89",X"90",X"9A",X"00",X"9A",X"00",X"89",X"00",X"98",X"90",X"78",X"00",X"97",X"A0",
X"00",X"00",X"00",X"89",X"29",X"99",X"9A",X"7A",X"99",X"99",X"00",X"09",X"70",X"AA",X"99",X"99",
X"A9",X"A0",X"98",X"A0",X"88",X"A0",X"AA",X"A0",X"2A",X"00",X"8A",X"00",X"8A",X"20",X"AA",X"82",
X"77",X"77",X"18",X"17",X"77",X"71",X"44",X"87",X"88",X"88",X"11",X"88",X"11",X"11",X"11",X"11",
X"1F",X"FE",X"81",X"FE",X"48",X"FE",X"77",X"FE",X"17",X"1E",X"71",X"81",X"87",X"48",X"88",X"77",
X"99",X"11",X"99",X"99",X"99",X"99",X"99",X"99",X"88",X"89",X"88",X"88",X"77",X"88",X"77",X"77",
X"18",X"17",X"11",X"71",X"91",X"87",X"99",X"88",X"99",X"88",X"89",X"99",X"88",X"89",X"88",X"88",
X"44",X"44",X"77",X"77",X"11",X"11",X"19",X"99",X"19",X"66",X"19",X"67",X"19",X"77",X"19",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"66",X"66",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"66",X"66",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"44",X"44",X"77",X"74",X"11",X"74",X"99",X"74",X"97",X"74",X"99",X"74",X"66",X"74",X"76",X"74",
X"11",X"11",X"11",X"18",X"11",X"88",X"11",X"88",X"11",X"88",X"11",X"88",X"22",X"88",X"22",X"28",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"DD",X"D0",X"CC",X"DF",X"FF",X"F0",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"44",X"44",X"77",X"77",X"11",X"11",X"18",X"88",X"18",X"88",X"18",X"99",X"18",X"77",X"18",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"77",X"99",X"79",X"88",X"98",X"88",X"88",X"88",
X"CC",X"CD",X"CC",X"DD",X"CC",X"D1",X"CC",X"D1",X"DD",X"1D",X"FF",X"1E",X"11",X"1E",X"DD",X"11",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"D1",X"CC",X"DD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"CC",X"DC",X"DD",X"DD",X"FF",X"EF",X"11",X"11",X"DD",X"11",X"DC",X"D1",X"CC",X"D1",X"CC",
X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"CC",X"CD",X"DD",X"DD",X"FF",X"FF",X"11",X"11",X"DD",X"D1",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"DC",X"CC",
X"CC",X"DD",X"CC",X"CD",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"ED",X"CC",X"ED",X"DD",X"1E",X"FF",X"11",X"11",X"D1",X"DD",X"D1",X"DC",X"D1",X"CC",X"D1",X"CC",
X"18",X"77",X"19",X"77",X"17",X"79",X"17",X"77",X"17",X"77",X"17",X"79",X"19",X"77",X"18",X"77",
X"88",X"88",X"98",X"88",X"79",X"88",X"77",X"88",X"77",X"88",X"79",X"88",X"98",X"88",X"88",X"88",
X"18",X"77",X"18",X"77",X"18",X"99",X"18",X"88",X"18",X"88",X"14",X"44",X"77",X"77",X"11",X"11",
X"88",X"88",X"98",X"88",X"79",X"88",X"77",X"99",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"88",X"89",X"88",X"99",X"88",X"77",X"89",X"77",X"89",X"77",X"88",X"77",X"88",X"99",X"88",X"89",
X"98",X"71",X"79",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"79",X"71",X"98",X"71",
X"88",X"97",X"88",X"77",X"89",X"79",X"97",X"98",X"77",X"98",X"44",X"44",X"77",X"77",X"11",X"11",
X"98",X"71",X"98",X"71",X"79",X"71",X"98",X"61",X"88",X"71",X"44",X"71",X"76",X"71",X"11",X"11",
X"EE",X"EE",X"CC",X"CC",X"FF",X"FF",X"EC",X"88",X"EC",X"88",X"EC",X"88",X"EC",X"88",X"CD",X"F8",
X"EE",X"EE",X"CC",X"CC",X"FF",X"FF",X"EC",X"71",X"EC",X"71",X"EC",X"71",X"EC",X"71",X"CD",X"F1",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"98",X"97",X"98",X"89",X"79",X"88",X"77",X"88",X"97",
X"44",X"41",X"77",X"71",X"11",X"71",X"88",X"71",X"88",X"71",X"78",X"71",X"98",X"71",X"98",X"71",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"87",X"DC",X"87",X"DC",X"87",X"DC",X"87",X"CE",X"F7",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"88",X"DC",X"88",X"DC",X"88",X"DC",X"88",X"CE",X"F8",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"F7",X"77",X"FF",X"77",X"CC",X"77",X"CC",X"F7",X"EE",X"FF",X"FF",X"CC",X"11",X"CC",X"77",X"EE",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"F7",X"77",X"FF",X"77",
X"77",X"FF",X"88",X"11",X"77",X"77",X"17",X"77",X"F1",X"88",X"EF",X"77",X"EE",X"11",X"EE",X"FF",
X"CC",X"77",X"CC",X"F7",X"EE",X"FF",X"FF",X"CC",X"11",X"CC",X"77",X"EE",X"77",X"FF",X"88",X"11",
X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",
X"77",X"71",X"77",X"77",X"77",X"77",X"17",X"77",X"91",X"77",X"99",X"77",X"91",X"17",X"91",X"91",
X"99",X"99",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",X"91",X"91",X"8C",X"99",X"EC",X"F9",
X"77",X"77",X"77",X"77",X"77",X"77",X"99",X"77",X"99",X"77",X"FF",X"DD",X"CC",X"EE",X"CC",X"DD",
X"44",X"44",X"88",X"81",X"77",X"77",X"99",X"99",X"94",X"84",X"94",X"84",X"98",X"48",X"98",X"48",
X"44",X"44",X"88",X"81",X"77",X"71",X"99",X"71",X"84",X"71",X"84",X"71",X"48",X"71",X"48",X"71",
X"78",X"87",X"78",X"87",X"78",X"87",X"78",X"87",X"78",X"87",X"78",X"87",X"78",X"87",X"78",X"87",
X"89",X"00",X"89",X"00",X"89",X"00",X"89",X"00",X"89",X"00",X"89",X"00",X"89",X"00",X"89",X"00",
X"44",X"44",X"77",X"77",X"99",X"92",X"92",X"77",X"99",X"77",X"97",X"77",X"97",X"77",X"27",X"77",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"77",X"99",X"77",X"77",X"99",X"99",X"97",X"99",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"77",X"77",X"99",X"77",X"77",X"77",X"87",X"77",
X"44",X"44",X"77",X"71",X"99",X"71",X"79",X"71",X"79",X"71",X"77",X"71",X"77",X"71",X"77",X"71",
X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",
X"77",X"99",X"78",X"99",X"88",X"79",X"88",X"89",X"99",X"99",X"99",X"78",X"79",X"88",X"77",X"88",
X"27",X"77",X"97",X"77",X"97",X"77",X"99",X"77",X"92",X"77",X"99",X"92",X"77",X"77",X"11",X"11",
X"97",X"88",X"99",X"88",X"77",X"77",X"77",X"99",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"87",X"97",X"79",X"97",X"99",X"79",X"99",X"79",X"88",X"79",X"88",X"79",X"78",X"97",X"97",X"97",
X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",
X"97",X"77",X"77",X"77",X"99",X"77",X"77",X"77",X"77",X"77",X"44",X"44",X"77",X"77",X"11",X"11",
X"77",X"71",X"77",X"71",X"77",X"71",X"79",X"71",X"79",X"71",X"99",X"71",X"77",X"71",X"11",X"11",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"DD",X"DD",X"CC",X"CC",X"FF",X"FF",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"DC",X"00",X"CE",X"F0",
X"44",X"44",X"77",X"77",X"11",X"11",X"77",X"77",X"77",X"77",X"99",X"77",X"77",X"77",X"87",X"77",
X"44",X"41",X"77",X"71",X"11",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",
X"27",X"00",X"0A",X"70",X"00",X"80",X"0A",X"98",X"2A",X"AA",X"92",X"9A",X"A7",X"22",X"9A",X"99",
X"00",X"00",X"00",X"00",X"20",X"00",X"97",X"00",X"A9",X"00",X"0A",X"00",X"00",X"00",X"00",X"00",
X"99",X"A0",X"99",X"AA",X"99",X"92",X"99",X"78",X"AA",X"89",X"77",X"99",X"8A",X"99",X"70",X"88",
X"00",X"00",X"22",X"00",X"99",X"00",X"17",X"82",X"99",X"99",X"00",X"01",X"70",X"00",X"99",X"90",
X"FF",X"FF",X"CC",X"CC",X"DD",X"DD",X"EE",X"ED",X"CC",X"FD",X"CC",X"ED",X"EE",X"ED",X"EE",X"ED",
X"FF",X"FF",X"CC",X"CC",X"DD",X"DD",X"DE",X"EE",X"DE",X"FF",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",
X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",
X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",
X"77",X"77",X"11",X"29",X"82",X"82",X"27",X"98",X"79",X"99",X"29",X"88",X"82",X"82",X"98",X"27",
X"77",X"77",X"11",X"71",X"82",X"87",X"27",X"98",X"79",X"99",X"29",X"88",X"82",X"82",X"98",X"27",
X"99",X"79",X"88",X"29",X"82",X"82",X"27",X"98",X"79",X"99",X"79",X"88",X"77",X"87",X"99",X"99",
X"99",X"79",X"88",X"79",X"82",X"87",X"27",X"98",X"79",X"99",X"79",X"88",X"77",X"87",X"99",X"99",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"44",X"44",X"77",X"77",X"11",X"11",
X"76",X"71",X"66",X"71",X"99",X"71",X"97",X"71",X"99",X"71",X"44",X"71",X"77",X"71",X"11",X"11",
X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",
X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",X"FD",X"EF",
X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",
X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",X"ED",X"DE",
X"FF",X"ED",X"CC",X"ED",X"DD",X"ED",X"EE",X"ED",X"FF",X"ED",X"CC",X"ED",X"DD",X"FF",X"EE",X"FF",
X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"DE",X"FE",X"FF",X"FE",X"FF",X"FE",
X"FF",X"00",X"EC",X"0F",X"0E",X"FC",X"00",X"CC",X"0E",X"CE",X"CC",X"EF",X"E1",X"11",X"00",X"88",
X"FF",X"CC",X"CC",X"CC",X"CC",X"EE",X"ED",X"FE",X"FF",X"FE",X"FF",X"FE",X"11",X"11",X"88",X"88",
X"22",X"22",X"11",X"11",X"22",X"77",X"08",X"88",X"00",X"88",X"00",X"11",X"00",X"00",X"00",X"00",
X"77",X"77",X"18",X"18",X"77",X"77",X"81",X"81",X"81",X"81",X"11",X"11",X"11",X"11",X"11",X"11",
X"EF",X"18",X"FF",X"47",X"F1",X"71",X"18",X"17",X"87",X"78",X"47",X"88",X"71",X"88",X"17",X"11",
X"77",X"77",X"81",X"81",X"77",X"77",X"44",X"47",X"88",X"87",X"81",X"11",X"11",X"11",X"11",X"11",
X"78",X"11",X"88",X"19",X"81",X"99",X"19",X"99",X"99",X"99",X"99",X"88",X"88",X"88",X"88",X"77",
X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"88",X"88",X"88",X"88",X"77",X"77",X"77",X"77",
X"88",X"88",X"99",X"99",X"07",X"47",X"88",X"88",X"77",X"77",X"00",X"88",X"00",X"00",X"00",X"00",
X"77",X"77",X"77",X"70",X"77",X"00",X"77",X"0F",X"70",X"FC",X"DD",X"CD",X"EF",X"CE",X"FC",X"EF",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"FF",X"CC",X"CF",X"DC",X"FC",X"ED",X"CC",X"EF",X"CE",X"FC",X"CD",X"CC",X"CC",X"CE",X"FC",
X"CC",X"CC",X"CE",X"FC",X"CD",X"9F",X"CC",X"99",X"FC",X"87",X"9F",X"71",X"99",X"17",X"87",X"77",
X"CD",X"9F",X"CC",X"99",X"FC",X"87",X"9F",X"71",X"99",X"17",X"87",X"77",X"7C",X"77",X"EC",X"F7",
X"71",X"77",X"17",X"77",X"77",X"77",X"77",X"71",X"D7",X"17",X"77",X"97",X"7C",X"97",X"EC",X"F7",
X"78",X"17",X"89",X"77",X"91",X"77",X"17",X"77",X"77",X"77",X"77",X"79",X"77",X"11",X"77",X"71",
X"EE",X"88",X"ED",X"88",X"D9",X"88",X"88",X"88",X"88",X"88",X"11",X"18",X"71",X"71",X"71",X"71",
X"71",X"78",X"19",X"79",X"71",X"71",X"71",X"71",X"78",X"78",X"79",X"79",X"7C",X"71",X"EC",X"F8",
X"78",X"78",X"79",X"79",X"71",X"71",X"71",X"71",X"78",X"78",X"79",X"71",X"7C",X"71",X"EC",X"F8",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"7F",X"77",X"FF",X"77",X"FC",X"7F",X"CC",
X"77",X"FF",X"7F",X"CC",X"FF",X"CC",X"FC",X"EE",X"CC",X"FF",X"CE",X"11",X"EF",X"77",X"F1",X"77",
X"FF",X"CE",X"FC",X"EF",X"CC",X"F1",X"CE",X"17",X"EF",X"77",X"F1",X"78",X"17",X"87",X"77",X"71",
X"17",X"88",X"77",X"77",X"78",X"11",X"87",X"FF",X"71",X"EE",X"11",X"EE",X"FF",X"EE",X"EE",X"EE",
X"88",X"88",X"77",X"77",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",
X"88",X"88",X"77",X"79",X"99",X"79",X"91",X"79",X"91",X"79",X"91",X"79",X"99",X"79",X"91",X"79",
X"88",X"88",X"77",X"77",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"99",X"99",X"91",X"91",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"91",X"91",X"91",X"91",X"98",X"98",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"91",X"91",
X"91",X"91",X"91",X"91",X"98",X"98",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"91",X"91",
X"98",X"98",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"98",X"98",X"77",X"77",X"99",X"99",
X"98",X"98",X"99",X"99",X"91",X"91",X"91",X"91",X"91",X"91",X"98",X"98",X"77",X"77",X"99",X"99",
X"91",X"79",X"91",X"79",X"98",X"79",X"99",X"79",X"91",X"79",X"91",X"79",X"91",X"79",X"91",X"79",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"98",X"79",X"99",X"79",X"91",X"79",X"91",X"79",X"91",X"79",X"98",X"79",X"77",X"79",X"99",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"16",X"77",X"16",X"77",X"16",X"77",X"16",X"77",X"16",X"77",X"16",X"77",X"16",X"77",X"16",X"77",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"19",X"77",X"19",X"77",X"19",X"67",X"19",X"66",X"19",X"99",X"44",X"44",X"77",X"77",X"11",X"11",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"66",X"66",X"44",X"44",X"77",X"77",X"11",X"11",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"66",X"EE",X"EE",X"CC",X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"A7",X"66",X"7E",X"EE",X"7E",X"CC",X"8E",X"BB",X"8E",X"BB",X"8E",X"BB",X"8B",X"BB",X"8B",X"BB",
X"68",X"22",X"88",X"72",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"BE",X"DD",X"EE",X"DD",X"EE",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"D7",X"DD",X"DD",X"DD",X"BB",X"DB",X"BB",X"DB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"BB",X"DD",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"88",X"BB",X"88",
X"DD",X"8B",X"DD",X"88",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",
X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",
X"69",X"78",X"EE",X"77",X"DD",X"98",X"BB",X"98",X"BB",X"99",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",
X"66",X"66",X"EE",X"EE",X"ED",X"DD",X"DB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"9D",X"DD",X"9D",X"DB",X"9D",X"DB",X"9D",X"BB",X"9D",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"8B",X"B7",X"8B",X"B7",X"8B",X"B7",X"8B",X"B7",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",
X"97",X"70",X"97",X"70",X"97",X"70",X"97",X"70",X"97",X"70",X"97",X"70",X"97",X"70",X"97",X"70",
X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",X"8B",X"BB",
X"97",X"70",X"C7",X"77",X"B9",X"77",X"B9",X"77",X"B9",X"77",X"B9",X"77",X"B9",X"77",X"B9",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"EE",
X"98",X"77",X"98",X"77",X"98",X"77",X"98",X"77",X"98",X"77",X"98",X"77",X"98",X"77",X"BB",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"88",X"BB",X"BB",
X"B9",X"77",X"B9",X"77",X"B9",X"77",X"B9",X"77",X"B8",X"77",X"B8",X"77",X"77",X"77",X"98",X"77",
X"BD",X"DD",X"DD",X"DD",X"DD",X"BB",X"DB",X"BD",X"DB",X"DB",X"DB",X"BB",X"DB",X"BD",X"DB",X"BD",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",
X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",
X"DD",X"AA",X"DD",X"8A",X"BB",X"8A",X"BB",X"8A",X"DB",X"8A",X"B9",X"8A",X"B9",X"88",X"B9",X"88",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",
X"BE",X"DE",X"EE",X"ED",X"EE",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",
X"DD",X"DD",X"DD",X"DD",X"BE",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"DD",X"8D",X"DD",X"D8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",
X"DD",X"AA",X"DD",X"8A",X"BB",X"8A",X"BB",X"8A",X"BB",X"8A",X"8B",X"8A",X"8B",X"88",X"8B",X"88",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"8B",X"88",X"8B",X"88",X"B8",X"88",X"B8",X"88",X"B8",X"88",X"B8",X"88",X"B9",X"88",X"B9",X"88",
X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",
X"BE",X"ED",X"EE",X"DD",X"EE",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BE",
X"DD",X"D9",X"DE",X"D9",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",
X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",
X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",
X"DD",X"ED",X"DD",X"ED",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",X"BB",X"EB",
X"DD",X"BB",X"DD",X"8B",X"9B",X"8B",X"9B",X"8B",X"9B",X"8B",X"9B",X"8B",X"9B",X"88",X"B9",X"88",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",X"B9",X"88",
X"66",X"66",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"66",X"66",X"EE",X"EE",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"EE",X"BB",X"ED",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"27",X"66",X"7E",X"EE",X"7E",X"CC",X"8E",X"BB",X"8E",X"BB",X"8E",X"BB",X"8B",X"BB",X"8B",X"BB",
X"68",X"22",X"88",X"72",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",X"BB",X"BE",
X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",X"BD",X"DB",
X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",
X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",X"9B",X"BB",
X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"88",
X"66",X"66",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"99",X"77",X"B9",X"77",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"66",X"8B",X"E8",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"66",X"BB",X"EE",X"86",X"DD",X"EE",X"BB",X"DE",X"BB",X"ED",X"BB",X"DB",X"BB",X"DB",X"BB",X"DB",
X"BB",X"BB",X"66",X"66",X"EE",X"EE",X"DD",X"DD",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"66",X"66",X"8E",X"EE",X"89",X"DD",X"88",X"BB",X"88",X"BB",X"88",X"BB",X"B8",X"BB",X"B8",
X"66",X"00",X"EE",X"90",X"DD",X"87",X"BA",X"87",X"BA",X"87",X"BA",X"87",X"BA",X"87",X"BA",X"87",
X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"B8",X"BB",X"BB",
X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"66",X"66",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"99",X"77",X"B9",X"77",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"66",X"8D",X"E8",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"E9",X"7D",X"EE",X"77",X"DD",X"98",X"BB",X"98",X"BB",X"99",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",
X"EE",X"EE",X"EE",X"EE",X"ED",X"DD",X"DB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"EE",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"99",X"77",X"B9",X"77",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"EE",X"8B",X"E8",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"BE",X"EE",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"EE",X"EE",X"EE",X"EE",X"CC",X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",X"EE",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"B7",X"EE",X"7E",X"EE",X"7E",X"CC",X"8E",X"BB",X"8E",X"BB",X"8E",X"BB",X"8B",X"BB",X"8B",X"BB",
X"EE",X"8B",X"E8",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"EE",X"EE",X"EE",X"EE",X"EE",X"DD",X"EE",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",X"ED",X"BB",
X"99",X"77",X"B9",X"77",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"EE",X"8D",X"E8",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",X"88",X"77",
X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",X"B8",X"87",
X"69",X"72",X"EE",X"77",X"DD",X"98",X"BB",X"98",X"BB",X"99",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",
X"66",X"66",X"EE",X"EE",X"ED",X"DD",X"DB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"B9",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"E7",X"60",X"7E",X"E0",X"7E",X"C0",X"8E",X"B0",X"8E",X"B0",X"8E",X"B0",X"8B",X"B0",X"8B",X"B0",
X"BB",X"BB",X"DD",X"7B",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",
X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",X"BB",X"B0",
X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",X"DD",X"77",
X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",
X"2E",X"E2",X"2E",X"66",X"E6",X"6C",X"66",X"CE",X"66",X"EE",X"CC",X"EE",X"CE",X"EE",X"CE",X"EE",
X"EE",X"EE",X"66",X"66",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"DE",X"EE",X"ED",X"EE",
X"CC",X"EE",X"2B",X"EE",X"BC",X"EE",X"BC",X"EE",X"BC",X"CC",X"2B",X"CC",X"22",X"BB",X"22",X"22",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EC",X"ED",X"CB",X"CC",X"BB",X"BB",X"22",X"22",X"22",X"22",X"22",
X"66",X"22",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"6D",X"D6",X"DD",X"D6",
X"66",X"66",X"66",X"66",X"66",X"66",X"EC",X"66",X"EC",X"66",X"EC",X"DD",X"6E",X"DD",X"6E",X"CD",
X"66",X"66",X"DD",X"66",X"ED",X"DE",X"EE",X"EE",X"CC",X"EC",X"BB",X"CB",X"22",X"BB",X"22",X"22",
X"6E",X"CC",X"EE",X"CC",X"CC",X"EE",X"BB",X"CC",X"22",X"BB",X"22",X"22",X"22",X"22",X"22",X"22",
X"22",X"66",X"66",X"EE",X"66",X"66",X"6E",X"66",X"6E",X"66",X"ED",X"66",X"ED",X"EE",X"E6",X"DD",
X"22",X"66",X"66",X"66",X"E6",X"66",X"6E",X"66",X"66",X"66",X"66",X"66",X"ED",X"66",X"D6",X"66",
X"66",X"66",X"E6",X"66",X"EE",X"66",X"DD",X"EE",X"CC",X"DD",X"BB",X"CD",X"22",X"BC",X"22",X"2B",
X"66",X"66",X"66",X"EE",X"66",X"ED",X"6E",X"DC",X"ED",X"CB",X"DC",X"B2",X"CB",X"22",X"B2",X"22",
X"66",X"66",X"60",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"60",X"00",X"66",X"00",X"06",X"00",
X"60",X"00",X"06",X"00",X"00",X"60",X"00",X"66",X"00",X"06",X"00",X"06",X"00",X"06",X"00",X"60",
X"06",X"00",X"06",X"00",X"06",X"00",X"00",X"00",X"00",X"66",X"66",X"06",X"00",X"00",X"00",X"00",
X"00",X"00",X"66",X"00",X"00",X"60",X"00",X"60",X"00",X"00",X"66",X"00",X"00",X"00",X"00",X"00",
X"24",X"22",X"20",X"12",X"14",X"14",X"10",X"11",X"21",X"1D",X"14",X"41",X"D0",X"10",X"41",X"2A",
X"41",X"1D",X"41",X"11",X"01",X"4D",X"D0",X"11",X"4D",X"1D",X"01",X"4D",X"4D",X"02",X"41",X"4D",
X"0D",X"04",X"4A",X"A0",X"0E",X"CA",X"AA",X"CD",X"A4",X"0D",X"0A",X"EE",X"EA",X"EA",X"EE",X"EE",
X"0A",X"0A",X"04",X"DE",X"A0",X"00",X"00",X"AA",X"EE",X"EE",X"EE",X"EE",X"EE",X"00",X"00",X"ED",
X"14",X"C4",X"C2",X"C1",X"1C",X"2C",X"C2",X"04",X"1C",X"4C",X"0C",X"CC",X"4C",X"4B",X"02",X"0C",
X"14",X"40",X"C2",X"11",X"4C",X"02",X"0C",X"20",X"24",X"4C",X"2C",X"04",X"0C",X"2B",X"B4",X"4B",
X"B0",X"B4",X"4B",X"B0",X"0B",X"B4",X"BB",X"1B",X"42",X"4B",X"B0",X"0B",X"B4",X"BB",X"B0",X"BB",
X"C0",X"0B",X"1B",X"1C",X"BB",X"0B",X"B4",X"BB",X"B0",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"F4",X"0B",X"20",X"1C",X"CF",X"C4",X"10",X"C1",X"2C",X"1F",X"F4",X"41",X"C0",X"B0",X"4B",X"2B",
X"4C",X"F0",X"4C",X"B1",X"0F",X"4C",X"C0",X"C1",X"4C",X"1B",X"01",X"4F",X"4C",X"02",X"4C",X"4B",
X"0C",X"BF",X"4B",X"B0",X"FC",X"BB",X"0B",X"CB",X"B4",X"BB",X"B0",X"BB",X"BF",X"BB",X"B0",X"BB",
X"0C",X"FB",X"FB",X"0B",X"04",X"BB",X"B0",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"14",X"A4",X"A2",X"A1",X"1A",X"2A",X"F2",X"04",X"1A",X"4A",X"0A",X"BF",X"4E",X"4B",X"02",X"0B",
X"14",X"40",X"A2",X"11",X"4B",X"F2",X"FA",X"20",X"24",X"4A",X"2A",X"04",X"0A",X"AA",X"A4",X"4A",
X"B0",X"B4",X"FE",X"E0",X"0B",X"EF",X"BB",X"1B",X"42",X"FE",X"E0",X"0E",X"EF",X"EE",X"EE",X"E0",
X"A0",X"0E",X"EA",X"FE",X"BD",X"0E",X"B4",X"EE",X"E0",X"EE",X"EB",X"00",X"0B",X"EE",X"EE",X"EE",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"1F",X"F0",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"9F",X"1A",
X"28",X"22",X"22",X"82",X"21",X"21",X"12",X"12",X"21",X"21",X"11",X"11",X"01",X"01",X"00",X"00",
X"28",X"22",X"22",X"82",X"21",X"22",X"12",X"12",X"21",X"21",X"11",X"11",X"01",X"01",X"00",X"00",
X"A0",X"A0",X"AA",X"AA",X"BA",X"BA",X"BB",X"DB",X"BC",X"DB",X"CC",X"DB",X"AA",X"CB",X"AA",X"CC",
X"A0",X"A0",X"AA",X"AA",X"BA",X"BA",X"BB",X"CB",X"CE",X"CC",X"BE",X"CC",X"B0",X"CE",X"BA",X"CA",
X"62",X"21",X"12",X"26",X"10",X"21",X"12",X"21",X"11",X"11",X"31",X"11",X"11",X"00",X"00",X"00",
X"21",X"16",X"21",X"21",X"26",X"22",X"21",X"11",X"11",X"11",X"31",X"31",X"11",X"00",X"00",X"00",
X"00",X"AA",X"AA",X"AA",X"CC",X"AB",X"BC",X"AA",X"CD",X"BA",X"CD",X"BA",X"CD",X"BB",X"CD",X"BB",
X"00",X"AA",X"AA",X"AA",X"AA",X"BB",X"BB",X"BB",X"BB",X"BC",X"BB",X"BC",X"BD",X"BD",X"BD",X"BB",
X"50",X"05",X"01",X"50",X"81",X"81",X"05",X"05",X"20",X"80",X"22",X"02",X"52",X"25",X"00",X"00",
X"18",X"05",X"50",X"80",X"51",X"01",X"08",X"11",X"20",X"25",X"25",X"20",X"50",X"5C",X"0C",X"0C",
X"CC",X"CC",X"CC",X"BB",X"BB",X"CC",X"CC",X"CC",X"CC",X"BB",X"CC",X"CC",X"CB",X"CC",X"CC",X"CC",
X"BB",X"CC",X"CC",X"BC",X"BB",X"CB",X"CC",X"CC",X"CC",X"CB",X"CC",X"BB",X"BC",X"CC",X"CC",X"CC",
X"12",X"12",X"23",X"21",X"21",X"11",X"11",X"10",X"11",X"00",X"11",X"0A",X"01",X"AA",X"C1",X"CB",
X"21",X"22",X"12",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"10",X"10",X"10",X"10",X"1A",X"0A",
X"C1",X"CD",X"C0",X"CE",X"C0",X"DE",X"CA",X"EE",X"CA",X"EE",X"EB",X"EE",X"EB",X"EE",X"ED",X"EE",
X"1A",X"0A",X"1A",X"AA",X"1B",X"AA",X"0B",X"AA",X"0B",X"AD",X"AB",X"AD",X"AB",X"AD",X"BB",X"DD",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"CC",X"CC",X"C0",X"CC",X"0C",X"C0",X"CC",X"0C",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"CC",X"0C",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"C0",X"CC",X"0C",X"CC",X"CC",X"CC",
X"BB",X"BB",X"CC",X"BC",X"BB",X"BC",X"BB",X"BB",X"BB",X"CA",X"CA",X"BB",X"BB",X"BB",X"BB",X"BB",
X"AB",X"BB",X"BA",X"BB",X"BA",X"BB",X"BC",X"BB",X"CB",X"AB",X"CB",X"AB",X"BB",X"CB",X"AB",X"BA",
X"CB",X"BC",X"BA",X"BC",X"BA",X"AB",X"BC",X"BA",X"CC",X"BA",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"BA",X"BA",X"BA",X"BB",X"BB",X"BB",X"CC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"14",X"14",X"22",X"11",X"11",X"21",X"72",X"04",X"11",X"4E",X"0D",X"D7",X"42",X"4E",X"02",X"01",
X"14",X"40",X"11",X"11",X"41",X"72",X"72",X"20",X"24",X"4B",X"2D",X"04",X"0A",X"2B",X"A4",X"4B",
X"E0",X"E4",X"7D",X"E0",X"0E",X"E7",X"EE",X"1E",X"42",X"7E",X"E0",X"0E",X"E7",X"EE",X"E0",X"EE",
X"A0",X"0D",X"1A",X"7D",X"AA",X"0D",X"B4",X"BD",X"B0",X"AD",X"BB",X"BD",X"BB",X"BD",X"BB",X"DD",
X"DE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",
X"CE",X"DD",X"CE",X"DD",X"CE",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",
X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",
X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"CC",X"DD",X"DC",X"DD",X"DC",X"DD",X"DC",X"DD",
X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",
X"DC",X"DD",X"DD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",
X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"1E",X"DD",X"1E",X"D1",
X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DC",X"EE",X"D1",X"EE",X"D1",X"EE",X"16",X"22",
X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"AD",X"BB",X"AD",X"BB",X"AD",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",
X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",
X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",
X"AA",X"BB",X"AA",X"AA",X"BA",X"AA",X"BA",X"AA",X"BA",X"AD",X"BA",X"AD",X"BA",X"AD",X"BA",X"AD",
X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"BD",X"BB",X"22",X"BB",
X"BB",X"AD",X"BB",X"AD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"82",X"21",X"22",
X"ED",X"EE",X"EE",X"EE",X"EE",X"EB",X"EE",X"EB",X"EE",X"EB",X"DD",X"EB",X"DE",X"DA",X"DE",X"EB",
X"AB",X"DD",X"AB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"AA",X"DD",X"BB",X"DE",X"BB",X"DD",
X"DE",X"EB",X"DE",X"EE",X"DE",X"EE",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"DE",X"DD",X"ED",
X"BB",X"DD",X"BB",X"DD",X"BB",X"DD",X"BB",X"BD",X"BD",X"DD",X"BD",X"DD",X"AD",X"DD",X"BD",X"BD",
X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"CE",
X"BD",X"DD",X"BD",X"DE",X"BD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DD",X"DD",X"DD",X"DD",X"EB",
X"DD",X"EE",X"DD",X"EC",X"DD",X"EE",X"DD",X"EE",X"DD",X"DE",X"EB",X"2E",X"12",X"21",X"22",X"22",
X"DD",X"EB",X"DD",X"EB",X"DD",X"DB",X"DD",X"DD",X"DD",X"DD",X"11",X"11",X"22",X"21",X"22",X"22",
X"BD",X"EE",X"BD",X"EE",X"AD",X"EE",X"0D",X"EE",X"AD",X"EE",X"0D",X"EE",X"CD",X"EB",X"DD",X"BB",
X"CC",X"BB",X"B0",X"AE",X"B0",X"EE",X"BA",X"EE",X"AA",X"DE",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",
X"DD",X"BB",X"DD",X"CC",X"CD",X"AA",X"DD",X"DA",X"DD",X"DA",X"DE",X"DD",X"DE",X"ED",X"22",X"ED",
X"BB",X"AA",X"BB",X"AC",X"CB",X"CC",X"EA",X"0C",X"EE",X"0C",X"EE",X"A0",X"EE",X"A1",X"EE",X"11",
X"BD",X"EE",X"BD",X"EE",X"AD",X"EE",X"0D",X"EE",X"AD",X"EE",X"0D",X"EE",X"CD",X"EB",X"DD",X"BB",
X"CC",X"BB",X"B0",X"AE",X"B0",X"EE",X"BA",X"EE",X"AA",X"DE",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",
X"DD",X"BB",X"DD",X"CC",X"CD",X"AA",X"DD",X"DA",X"DD",X"DA",X"DE",X"DD",X"DE",X"ED",X"DE",X"ED",
X"BB",X"AA",X"BB",X"AC",X"CB",X"CC",X"EA",X"0C",X"EE",X"0C",X"EE",X"A0",X"EE",X"A0",X"EE",X"A0",
X"CB",X"DE",X"CC",X"DE",X"CC",X"EE",X"CC",X"EE",X"EE",X"CA",X"EE",X"CB",X"EE",X"AC",X"EE",X"AC",
X"0B",X"C0",X"A0",X"CD",X"AB",X"CD",X"0B",X"DD",X"BB",X"DD",X"BB",X"BA",X"CB",X"BB",X"CE",X"BB",
X"DB",X"BC",X"D0",X"BC",X"BB",X"BD",X"BB",X"CD",X"BB",X"CD",X"BB",X"CE",X"BC",X"EE",X"BC",X"EE",
X"EE",X"CC",X"EE",X"AC",X"EE",X"A0",X"DE",X"A0",X"DD",X"CC",X"BD",X"CC",X"CC",X"CC",X"AC",X"CC",
X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"EE",X"DD",X"CE",
X"BD",X"DD",X"BD",X"DE",X"BD",X"DE",X"DD",X"DE",X"DD",X"DE",X"DD",X"DD",X"DD",X"DD",X"DD",X"EB",
X"DD",X"EE",X"DD",X"EC",X"DD",X"EE",X"DD",X"EE",X"DD",X"DE",X"EB",X"1E",X"11",X"11",X"21",X"21",
X"DD",X"EB",X"DD",X"EB",X"DD",X"DB",X"DD",X"DD",X"DD",X"DD",X"11",X"11",X"11",X"11",X"22",X"11",
X"DB",X"DB",X"BD",X"BD",X"DB",X"DC",X"CC",X"CD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"CD",X"CC",
X"DB",X"DB",X"BD",X"CC",X"CC",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DC",X"CC",X"CC",X"DE",
X"CC",X"CD",X"DE",X"DE",X"ED",X"ED",X"DE",X"DE",X"ED",X"ED",X"DE",X"DC",X"DC",X"CB",X"BD",X"BD",
X"ED",X"ED",X"DE",X"DE",X"ED",X"ED",X"DE",X"DE",X"ED",X"CC",X"CD",X"BD",X"DB",X"DB",X"BD",X"BD",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"06",X"00",X"60",X"60",X"00",X"06",X"00",X"06",X"00",X"60",X"00",X"00",X"60",X"00",X"06",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",
X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",
X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",
X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",
X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",
X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",
X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",
X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",
X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",
X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",
X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",
X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -1,13 +1,12 @@
copy /b ninja-1.7a + ninja-2.7b + ninja-3.7d + ninja-4.7e cpu1_rom.bin
copy /b ninja-5.7h cpu2_rom.bin
copy /b ninja-5.7h + ninja-2.7b + ninja-3.7d + ninja-4.7e cpu2_rom.bin
copy /b ninja-10.2c + ninja-11.2d + ninja-12.4c + ninja-13.4d bg.bin
copy /b cpu1_rom.bin + cpu2_rom.bin + bg.bin NINJAKUN.ROM
make_vhdl_prom.exe ninja-6.7n fg1_rom.vhd
make_vhdl_prom.exe ninja-7.7p fg2_rom.vhd
make_vhdl_prom.exe ninja-8.7s fg3_rom.vhd
make_vhdl_prom.exe ninja-9.7t fg4_rom.vhd
make_vhdl_prom.exe ninja-10.2c fg1_rom.vhd
make_vhdl_prom.exe ninja-11.2d fg2_rom.vhd
make_vhdl_prom.exe ninja-12.4c fg3_rom.vhd
make_vhdl_prom.exe ninja-13.4d fg4_rom.vhd
pause

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.