mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-20 01:34:38 +00:00
TraverseUSA: add a PAL/original timings switch
This commit is contained in:
parent
3e431aca07
commit
a4392d5df9
@ -57,6 +57,7 @@ localparam CONF_STR = {
|
||||
`CORE_NAME,";;",
|
||||
"O2,Rotate Controls,Off,On;",
|
||||
"O34,Scanlines,Off,25%,50%,75%;",
|
||||
"O1,Video Timing,Original,Pal 50Hz;",
|
||||
"OA,Blending,Off,On;",
|
||||
"O5,Units,MP,Km;",
|
||||
"O6,Freeze,Disable,Enable;",
|
||||
@ -70,6 +71,7 @@ localparam CONF_STR = {
|
||||
wire rotate = status[2];
|
||||
wire [1:0] scanlines = status[4:3];
|
||||
wire blend = status[10];
|
||||
wire pal = status[1];
|
||||
|
||||
reg shtrider = 0;
|
||||
wire [7:0] dip1 = 8'hff;
|
||||
@ -235,6 +237,7 @@ traverse_usa traverse_usa (
|
||||
.clock_0p895 ( clk_aud ),
|
||||
.reset ( reset ),
|
||||
|
||||
.palmode ( pal ),
|
||||
.shtrider ( shtrider ),
|
||||
|
||||
.video_r ( r ),
|
||||
|
||||
@ -113,6 +113,7 @@ port(
|
||||
clock_0p895 : in std_logic;
|
||||
reset : in std_logic;
|
||||
|
||||
palmode : in std_logic; -- 50 Hz vfreq
|
||||
shtrider : in std_logic; -- Shot Rider mode
|
||||
-- tv15Khz_mode : in std_logic;
|
||||
video_r : out std_logic_vector(1 downto 0);
|
||||
@ -334,7 +335,11 @@ begin
|
||||
hcnt <= '0'&x"80";
|
||||
vcnt <= vcnt + 1;
|
||||
if vcnt = '1'&x"FF" then
|
||||
vcnt <= '0'&x"E6"; -- from M52 schematics
|
||||
if palmode = '0' then
|
||||
vcnt <= '0'&x"E6"; -- from M52 schematics
|
||||
else
|
||||
vcnt <= '0'&x"C8";
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user