1
0
mirror of https://github.com/Gehstock/Mist_FPGA.git synced 2026-01-13 15:17:55 +00:00
This commit is contained in:
Gehstock 2018-10-28 14:12:49 +01:00
parent 151d94bef1
commit afc7fdcade
23 changed files with 16 additions and 667 deletions

View File

@ -146,7 +146,7 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name SYSTEMVERILOG_FILE rtl/KingBalloon_MiST.sv
set_global_assignment -name VHDL_FILE rtl/galaxian.vhd
set_global_assignment -name VHDL_FILE rtl/kingballon.vhd
set_global_assignment -name VHDL_FILE rtl/mc_video.vhd
set_global_assignment -name VHDL_FILE rtl/mc_stars.vhd
set_global_assignment -name VHDL_FILE rtl/mc_missile.vhd

View File

@ -7,7 +7,7 @@
-- A simulation model of Galaxian hardware
-- Copyright(c) 2004 Katsumi Degawa
---------------------------------------------------------------------------------
-- VGA not Working(WIP)
-- VGA not Working(WIP) Video needs Rework
-- Only controls are rotated on VGA output.
--
--

View File

@ -41,8 +41,8 @@ module KingBalloon_MiST
`include "rtl\build_id.v"
localparam CONF_STR = {
"KingBalloon;;",
"O1,Test,off,on;",
"King and Ball.;;",
// "O1,Test,off,on;",
// "O2,Joystick Control,Upright,Normal;",
"O34,Scandoubler Fx,None,CRT 25%,CRT 50%,CRT 75%;",
"T6,Reset;",
@ -84,8 +84,7 @@ wire m_start1 = kbjoy[1];
wire m_start2 = kbjoy[2];
wire m_coin = kbjoy[3];
galaxian galaxian
(
kingballoon kingballoon(
.W_CLK_18M(clk_18),
.W_CLK_12M(clk_12),
.W_CLK_6M(clk_6),
@ -97,10 +96,10 @@ galaxian galaxian
.W_B(b),
.W_H_SYNC(hs),
.W_V_SYNC(vs),
.W_SDAT_A(audio_a),
.W_SDAT_B(audio_b),
.HBLANK(hblank),
.VBLANK(vblank)
.VBLANK(vblank),
.W_SDAT_A(audio_a),
.W_SDAT_B(audio_b)
);
wire [7:0] audio_a, audio_b;
@ -119,7 +118,7 @@ wire hs, vs;
wire [2:0] r, g, b;
wire hblank, vblank;
wire blankn = ~(hblank | vblank);
video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(1)) video_mixer
video_mixer #(.LINE_LENGTH(380), .HALF_DEPTH(1)) video_mixer
(
.clk_sys(clk_24),
.ce_pix(clk_6),
@ -138,8 +137,8 @@ video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(1)) video_mixer
.VGA_VS(VGA_VS),
.VGA_HS(VGA_HS),
.scandoubler_disable(scandoubler_disable),
.scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 2'b11, status[4:3] == 2'b10, status[4:3] == 2'b01}),
.hq2x(0),
.scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}),
.hq2x(status[4:3]==1),
.ypbpr_full(1),
.line_start(0),
.mono(0)

View File

@ -1,3 +0,0 @@
:1000000000D8F007003FF60700F63807003F382F0A
:10001000002F383F000738F6003F16F000382FF069
:00000001FF

View File

@ -1,641 +0,0 @@
:10000000AF3201B0C32213FF3A2F83113083183B64
:100010004FEB216080C3EF01E15E235623C35D00F7
:10002000856F3001247EC9FF87E3E75F2356EBE944
:10003000E15E2356234E233EC74623C65991C5068B
:1000400000EDB04FEB09EBC110F4E9EB856FD62C56
:10005000E67F322F83712C702C732C72C9ED530004
:1000600083E92A0083E908D9DDE5FDE53A00B83ADD
:100070000483A7C28A253A00A0CB77C2000021C81A
:10008000821106980604AF96121C1C121C1C2C1020
:10009000F53AD782ED441136980603121C1C10FB6A
:1000A0003A08834F3A0383A14F217082114098068A
:1000B000082C78FE063EF038073CCB4128023EEF84
:1000C00096121C1C1C2D7E122C2C1C10E4DD21B061
:1000D0008206081C78FE063E0030013DDD960112C6
:1000E0001C1C3EFBDD9600CB4128032FD605121CBD
:1000F000DD23DD2310DD21E08211019806207E1230
:100100002C1C1C10F921108206087E122C1C7E1259
:100110002C1C1C1C10F4012801CF2101B036003624
:1001200001FDE1DDE1D908C92A8482237DB42803D9
:10013000228482CD6200CD8601CD2107CD7204CD0F
:100140005905CD4408CDD208CD4611CD0B02CD3096
:1001500002CD6F20CDE21ECD921BCDAA1D2680AF11
:10016000C6606F7ED6013816772013E57DD660878E
:1001700087C6806F4E2C462C5E2C56EBCFE17DD689
:100180005FE61F20DBC9211883347EE60FC07E0F97
:100190000F0F0F473A19832FB04F3A1583D601300E
:1001A00002AF4F2801793201A0793200A0212093BB
:1001B0001180903A0383A72801EB3C4F3A1A83A0A1
:1001C000CCD101C4E001EB3A0283A7281379EE03F6
:1001D0004FE5FDE1FD360019FD36201EFD7140C9D9
:1001E000E5FDE13E24FD7700FD7720FD7740C9065F
:1001F000207EA728042C10F9C9717DD6608787C698
:10020000806FC1712C702C732C72C9211D83111C3D
:10021000830100031A873811F03F7ECE0027FE606D
:1002200038023E00772C10F1C9712C10FC0F12C956
:100230003A1583FE99173202A01FD03A00A02F076B
:100240002110837E1777E60FD60C2004019302CF8E
:100250003A00A02F0F2111837E1777E60FD60CCC22
:1002600073023A00A02F0F0F2112837E1777E60F3B
:10027000D60CC0019302CF21138334347EFE02C01A
:10028000018502CFC93E08D77E2FE6013203A03593
:1002900020F3C93A0B832114833496C0773A0C8338
:1002A000211583862730023E9977216B22D42220A4
:1002B0003A1683A7C811158321BF92010101C35CBF
:1002C0000321058306031ABED820051C2C10F7C98C
:1002D0001A771C2C10FAC947E6F04F781F473806EA
:1002E0001F1F1FE60F4F3E0390E60747E72D7E894D
:1002F00027770E0010F7D036992C36992C3690C9F6
:100300004FC579CD0A03C110F8C9473A1783A7C072
:1003100078210D80CDD702CD4B04CD3103110D8056
:10032000CDC102112D80CDC102110583214192184A
:1003300028110D803A0383A7201C21A193181A11BC
:100340000D80212D803A0383A72801EBE5CD3A03E8
:10035000D13A0283A7C82101910104033EE0C547B9
:100360001A0F0F0F0FE60F20070DFA70033E24FA45
:100370000E00771AE60F20070DFA7F033E24FA0ECF
:1003800000D55816FF197719D11C78E344E110CE37
:10039000C9C6406F262766CD9D036729C9AF6F5731
:1003A000CB7C280193CB7B280194060829300119C6
:1003B00010FA84C92E000611AF17BB3801933FED28
:1003C0006A10F6C90100081100407C92799B38053B
:1003D0004F7C9267A73FCB1329CB1129CB1110EA91
:1003E000C9FD2120837B9530022F3CFDCB01164FA8
:1003F0007A9430022F3CFDCB03165FB1F20304CB9D
:1004000039CB3BFD7100FD730263CD9D03E559635C
:10041000CD9D03D119CDC403FD6600CDB4037CA7E7
:100420007D28023EFFA72809FDCB011E30022F3C8C
:100430001F4FFD6602CDB4037CA77D28023EFFA7B7
:10044000C8FDCB031E30022F3C1FC93A1080A7C045
:100450002A0D807D6C67292929297C210A83BED831
:100460003E01321080217522CD1D20210A8034C327
:1004700077183AD0823DC0CDB70429292929ED5BF0
:10048000D2821922D2827A47E6F86F2600292911F2
:1004900018901978E607C670112000772CC60877E7
:1004A00019C610772DD6087778FED0D0E607C6900B
:1004B00019772CC60877C93A1783A720343AD18216
:1004C000472100003A08834F3A0383A13A00A0284D
:1004D000033A00A887878787873809F03AD382FED6
:1004E000D0D068C9F83AD382FE21D8AF90C86F2522
:1004F000C93A1883E61FFE102023010008DD2120E1
:1005000082CD2D05DD23DD2310F70607DD21908246
:10051000CD3A05DD23DD2310F7793286823AD18288
:10052000472100003A86828738BBC818AFDD7E00BD
:10053000A7C8DD6E50DD6651180DDD7E00DDB60109
:10054000C8DD6E20DD66210D3AD382BC3EA03804A2
:10055000BDD01802BDD80C0CC93A8082A7C83A9EFB
:1005600082A720473AD0823D28066F6722BE82C903
:10057000CD78063A1783A728083A1883E61FC018D3
:10058000253A0883473A0383A03A00A028033A009B
:10059000A82F070707072183827E1777E60FD60C5F
:1005A000C03E08CDE7053EB0329E823A9E822600CC
:1005B000873001256F2929293AAE828532AE823AE9
:1005C000BE828C32BE822ABE823A1883E601873D03
:1005D00084677DFE10DA740622BE82D618FE40388B
:1005E000183E0132C082C9473A1783A7C03E013284
:1005F00005A878D7AF3205A8C96FE6F00F0F0F0F27
:10060000EB21C882E7EBED448467222483AF32C03C
:10061000827DE60FFE0CD07CE60FD602FE0CD03EAB
:100620000132C0827DE6F00F0F0FCB04CE006F7C4D
:10063000E6E00707073C4726803E800710FDA6C876
:10064000AE777DD60021E382E7218606E7CD0A0357
:100650002A24837D0F0F0FE61E5F7C070757E6C035
:10066000B35F7AE603572103901901E006CF214BCF
:1006700022CD1D20AF329E823A18830F3AD382CE0C
:1006800007672EBC22BE82C951413121E5DDE1E57B
:10069000DD36F030E13E08D7E5DDE1DD36F0313E14
:1006A00008D7E5DDE1DD36F0283E08D7E5DDE13AA3
:1006B0002E82FE03201E0107072120827EB9281406
:1006C0002C2C10F8DD360007DD36F03C3AFB82DDDD
:1006D00077F11848AFDD7700DD7750DD7751183CB2
:1006E000E5FDE1E53EC0CDD719E13E08D7E5FDE1E6
:1006F0003EC4CDD7193E08D7E5FDE13EA0CDD719C0
:100700003E08D7E5FDE1CDC8190608AF210080B647
:100710002C10FCA7200632C382CD74082108803536
:10072000C93A2E82A7C83AD782CDFF073A2E823D1A
:10073000C03AD5826F2600ED5BD6823AD482BA28C1
:10074000303006AF9528026F25292929291922D68C
:10075000827CE603C0CB54200CF71B900302444577
:1007600046474849C9F71B9003024A4B4C4D4E4F30
:10077000C921D4823AD382860F473A188380FE205B
:100780003002C620FED13802D62F77C921321B1184
:10079000E082012000EDB0F751900A02303132338F
:1007A0003435365F5F5F243738393A3B3C5B6B5BEF
:1007B000F791930A0230313233343D3E533F532494
:1007C0003738393A40415F5F5F219990112000012D
:1007D0009818711910FC3E20211B90111E00062054
:1007E00036242C36242C36671910F532D78232D4B1
:1007F00082ED441136980603121C1C10FBED442FA9
:10080000C6E4CD080879C62C4FE6F86F26002929E2
:10081000111B901979E607C650112000772C772C10
:10082000C6107719C60CFE7038023E6F772DD610B1
:10083000772D77FE5FC01979E607C654772C772CA1
:10084000C61077C93AC082A7C82AC282296C26007E
:10085000300125292929294C7DA721C1827ECC7E02
:10086000082600873001256F2929ED5BC2821922F5
:10087000C282297C21C8820604772C10FCC9A7C833
:10088000DD210080DD7E00DDB602DDB604DDB606CA
:100890005FDD7E01DDB603DDB605DDB60757B320AB
:1008A000033333C9CB7E281506027BA7200306FA43
:1008B0007A050F30FC78B97EF8ED4477C906FE7AE8
:1008C000A7200306067B040730FC79B87EF8ED44C8
:1008D00077C93A0282A7C8CD380DDD2120820607EC
:1008E000C5DD7E00210209EF26003409470ACE0A41
:1008F0002600A80DEE0EC80E26002600500AEE0EA9
:10090000EE0EDD7E00212309EF26002B092B092E98
:100910000926002B09B20F2600260026002E090307
:10092000104B10DD23DD23C110B6C9CDD511CD4D3F
:100930000CC3C40CDD7E50FEDE381EDD3600022105
:100940002D0A3A08803DBE233804232318F85E237D
:1009500056EBCD331DDD7701C9FEC0383B3A088028
:100960003D3A048220033A058247DD7E30CD7F097F
:10097000DD7730DD7E31CD8709DD7731C3D40BA73C
:10098000FA8509B8D078C9A7FA8F09B8D878C9ED1F
:1009900044B8380178ED44C9118001DD6E20DD6670
:1009A0002119DD7520DD7421CDAF09CDD409C9DD54
:1009B0007E21871E20CD91037CDD8630876F260047
:1009C000300125DD5650DD5E4029292919DD7540AD
:1009D000DD7450C9DD7E211E10CD91037CDD863192
:1009E000876F2600300125DD5651DD5E412929291A
:1009F00019DD7541DD7451DD7E3187381AC8DD7E21
:100A000051C608FEF8D8DD7E31ED44DD7731DD36A4
:100A100051EFDD362180C9DD7E51FEF8D8DD7E3113
:100A2000ED44DD7731AFDD7751DD7721C906390A35
:100A3000123B0A1E410AFF450AFFB401780596FFE2
:100A4000B40378FF96FF78DD3501C0DD360003C9B9
:100A5000CDD40BDD7E50FE083054AFDD360003DD13
:100A60007750DD77516F67322E82227E823281820B
:100A700032038232028232808232C08232C5823EAA
:100A80000232D082216523CD4D20219322CD4D20ED
:100A9000218522CD1D203E03CD0620F78D91010931
:100AA00043240E220B240E220B01720BCFC9C61059
:100AB0002EDEBD30016FDD6651227E82E607C0CB9F
:100AC0005D2E3428012C3AFB8267221E82C9CDD4C8
:100AD0000BDD7E50FED0380D3A0A82ED44DD7730D2
:100AE000DD363100C92AC282296C2600300125DD9D
:100AF0007E100F4FE6F085577CCE00C07987878740
:100B000087C6185FDD6E50DD66517C9220027D93B2
:100B100020243A0880FE07300DDD360001DD6E50DE
:100B2000DD6651C3C81CAFDD7700DD7750DD77513E
:100B3000CDB60BC30D1FCDE10367CD4C0B5FCD9739
:100B400003DD743161CD9703DD7430C97BFE203045
:100B50000C3AC182A7F25A0BED44C610C9DD7E00E3
:100B6000FE0A3A0A82C03A08803D3A0782C03A0833
:100B700082C93EB4D7AFCD06200607DD212082C54D
:100B8000CDAB0BAFDD7700DD7750DD7751DD23DDB9
:100B900023C110EBDD7700DD7750DD7751010030A8
:100BA000219082712C10FCDF391AC9DD7E00A7C8A4
:100BB000FE04C8FE07C8210080DD7E10E61F856F99
:100BC000DD7E10E6E00707073C4F473E800710FD3B
:100BD000AE7741C9CDDB0BCD060CC9DD7E30DD5EC5
:100BE00040DD56502600876F30012529292919DD5F
:100BF0007540DD74507CFEF0D8DD365000DD7E306F
:100C0000ED44DD7730C9DD7E31DD5E41DD565126B4
:100C100000876F30012529292919DD7541DD7451BF
:100C2000DD7E31873814C87CC608FEF8D8DD7E31F9
:100C3000ED44DD7731DD3651EFC9DD7E51FEF8D868
:100C4000DD7E31ED44DD7731DD365100C93A9E82DB
:100C5000A7C83ABE82DD9650FE0CD03ABF82DD9620
:100C600051D602FE0CD03E0132C082CD7406DD7E2C
:100C700000FE04C8FE0A0602200104DD7EF1218682
:100C800006E7CD0003CD9A0CDD360004DDE5E10179
:100C90008C06CF214B22CD1D20C9DD7E00FE0AC06F
:100CA0003E03322E82219322CD4D20211D21CD1DC8
:100CB000203E02CD062021AD9111200001240671B5
:100CC0001910FCC93A2E823DC03ED0DD9650FEE19F
:100CD000D83AD782DD9651C60CFE19D0DD36000A0F
:100CE000219322CD1D203E01CD0620060721208222
:100CF0007E3D200236032C2C10F63E02322E82AFAF
:100D0000320382328182DD6E50DD6651227E827C2A
:100D1000ED44571E00CD360B2E343AFB8267221E5F
:100D200082F71B900302242467242467F7AD910106
:100D300006432419150E11C9DD212E82DD7E00FE29
:100D400003C03A09828726003001256F292929DD51
:100D50005E40DD565019DD7540DD74507CFEDD3897
:100D600035DD7E51DD360001DD365000DD365100C7
:100D70000120D0B9300179B8380178CDD807211DCC
:100D800021CD4D20AFCD06203A0880A7C83E0132C4
:100D90000382328182C9E607C0CB5C2E3628012C43
:100DA0003AFB8267221E82C9CDD40B21208201002A
:100DB000077EFE0520010C2C2C10F679FE03281569
:100DC00006072120827EFE05200236032C2C10F51A
:100DD000AF320082C9DD7E50FE58303DDDE5E12CAA
:100DE0002C7DFE2E20022E207EFE0520F2115000CA
:100DF000195E2C56DD6E50DD6651CDE103677BFE3A
:100E000010D83A0482875FCD9703DD743161CD97A6
:100E1000033A048284DD7730C9010507FD21208271
:100E2000C5CD600EC1FD23FD2310F5FD212E82FDF1
:100E30002BFD2BFD7E00FE0820F5212E822D2D7E20
:100E4000FE0620F9FD36F03A11F1FF197EFD77F12B
:100E5000115F00197ED610FD77502C7EFD7751C9A9
:100E6000FD7E00B9C03A01828787FD86F121B60E6A
:100E7000E7FD77003A01822FC606FD77F1FD7E007F
:100E8000FE06280AD609C0FD7750FD7751C9FD3608
:100E9000F03BFD6E50FD66513AD782571EFFFDE5CF
:100EA000CDE103FDE1673A06825FCD9703FD743122
:100EB00061CD9703FD7430C90106090809010806D0
:100EC00006080109080906013A2E82FE03280BAF25
:100ED000DD7700DD7750DD7751C92A7E827DD6101F
:100EE000DD7750DD7451E607C0DD36F03CC9DD7EAC
:100EF00050FEC03026CD9809010807FD212082FD53
:100F00007E00B92807FD23FD2310F4C9DD7E50D6ED
:100F100010FD7750DD7E51FD7751C9DD7E10E6066C
:100F200021E382E7DD77F1DD36F02C3A0482DD77CC
:100F300030DD360001010907FD212082FD7E00B968
:100F40002807FD23FD2310F4C9FD7E10E60621E3EA
:100F500082E7FD77F1FD36F02CDD7E50FD7750DD28
:100F60007E51FD77513A0482FD7730FD7731FD36B1
:100F70000001010807FD212082FD7E00B92807FD40
:100F800023FD2310F4C9FD7E10E60621E382E7FD70
:100F900077F1FD36F02CDD7E50FD7750DD7E51FD82
:100FA00077513A0482FD7730ED44FD7731FD36000C
:100FB00001C93A9E82A7C83ABE82DD9650FE0CD087
:100FC0003ABF82DD9651FE10D0CD7406CD9010DD73
:100FD0007EF1213C11E7DD77F1DD36F03EDD3600B4
:100FE0000B212E822D2D7EFE0820F9E5FDE1FD7EF0
:100FF00050C610FD77503604018C06CF214B22CD10
:101000001D20C93A9E82A7C83ABE82DD9650FE0CCA
:10101000D03ABF82DD9651D601FE0ED0CD7406CDFA
:101020009D10DD7EF1214011E7DD77F1DD36F02CFA
:10103000DD36000C212E822D2D7EFE0920F936048E
:10104000018C06CF214B22CD1D20C93A9E82A7C814
:101050003ABE82DD9650FE0CD03ABF82DD9651D664
:1010600002FE0CD0CD7406CDAA10DDE5E1360401F8
:101070008C06CF214B22CD1D20AF320382328182DC
:101080003EF0D73A80823281823A0282320382C9AC
:10109000211A11CDB710212611CDEF10C9211E1133
:1010A000CDB710212E11CDEF10C9212211CDB710CF
:1010B000213611CDEF10C93A0182E7FE2320073E09
:1010C00013CD0A033E52CD0A03DD7E51E6F8FE1829
:1010D00030023E18FEC038023EC06F26002929DDCE
:1010E0007E50E6F80F0F0F856F11219019EBC93A6A
:1010F000018287E74E2346EB1120007EFE24200864
:10110000E5197EE1FE2428032B18F07119703E1EAC
:10111000D711E0FF3624193624C922324252325206
:10112000621352721323C8C9C8CAC8CBC8CCC8CA74
:10113000C8CCC8CDCFF4C8CCC8CECFF4CFF503050A
:101140000204050403023A8082A7C8DD21908206CA
:1011500007C5DD7E00A7C46B11DD7E01A7C4A41105
:10116000CDEF11DD23DD23C110E7C9260087300153
:10117000256F292929DD5E10DD562019DD7510DD6A
:1011800074207CD610FEBDD8DD7E21E6F86FD62017
:10119000FEC0302D2600292911199019364201CFA1
:1011A00011CF181D2600873001256F292929DD5E02
:1011B00011DD562119DD7511DD74217CD610FEE09C
:1011C000D8AFDD7700DD7701DD7720DD7721C93EFF
:1011D00008D73698C93AD0823DC03EB3DD9650FE5E
:1011E000EAD83AD382DD9651C60BFE17D018193AC9
:1011F000D0823DC03EBFDD9620FEF0D83AD382DDDE
:101200009621FEF2D8CDC111AF32D082011112CF9A
:10121000C93E10CD10133AD382FED038023EC8E644
:10122000F86F2600292911189019E511200036A41D
:101230002C36A51936A72D36A61936A82C36A9E1C5
:101240003E10D7E511200036AA2C36AB1936AD2D4D
:1012500036AC1936AE2C36AFE13E10D71120003E29
:1012600024772C36981936982D7719772C36983E96
:101270002DD73E10D73AD082A7C0212B83772C7769
:101280002C770607DD212082DD7E50D6A0FE30308F
:101290003FDD7E51E6F80F0F0FC547112B83FE0D82
:1012A00038011CD6053001AFFE083802D608FE1002
:1012B0003801AF4F78FE05380C2FC61E3801AFFE3F
:1012C0000538023E05CDEF1BC11AB5121C1AB41227
:1012D000DD23DD2310B20E170D289779CB2F3803AD
:1012E0002FC61747112B83FE0B38031CD60821038A
:1012F00000A72804293D20FC1AA56F1C1AA4B520BC
:10130000D73E048087878732D3823E0132D082C99C
:10131000473A1783A7C03E013203A878D7AF3203FC
:10132000A8C931C9233A00B82100A0010003712CDB
:101330007DE60720F97CC6086710F32100801100C4
:101340000471231B7BB220F93D3228833200B8217F
:1013500000900100043624230B79B020F8AF21C897
:10136000820604772C10FC32D78221108206707717
:101370002C10FC2190820630772C10FC210098065E
:1013800080772C10FC3A0883473A0383A06F6722CA
:1013900006B0C93A00A0070707E6013208833A0001
:1013A000A80707E603200521158336994F1FCE00B5
:1013B0006FE602A967220B833A00B0E60321DC1333
:1013C000E7320A833A00B00F0FE601C60232098302
:1013D0003A00B00F0F0FE601320D83C9101215FF4E
:1013E000310084CD93133E013203B00100283A004E
:1013F000B80D20FA10F83A00A02F0707E601320EC8
:1014000083AF3203B00100283A00B80D20FA10F87B
:101410003A00B83A00A0CB7720F6DF52143E0132F2
:101420000283328882328982328A823201B0320467
:10143000B02A2E837DBC28F9213083856FD62CE617
:101440007F322E83113114D55E2C56D52C5E2C564E
:10145000EBC9CD7C14DF5914C9CD8016217C8235AF
:10146000286A7EFEF0D0C610FE30D82C2C77E6031A
:10147000C0CB563E3428013C321E82C9AF320282B4
:10148000320382322E8232808232818232C08232B4
:10149000C58232D08232D8823C321783CD4F13219D
:1014A000721B11E082012000EDB0CD9D18CD3F03ED
:1014B000CD4A16CD28022100C0227C82227E8221C4
:1014C0002C02221C82213406221E82C9DF8016F7DC
:1014D0004791010A43240E16240D1B0A1E103E3CA0
:1014E000D7F7CD9001130E150B0A1D240E0C170A09
:1014F0001F0D0A240E1B180C1C3E3CD7F73191011E
:101500000D00000501240000000124000005F70F74
:10151000930302E9ECEDEBEEEF3E3CD7F73391019C
:101520000C000501242400000124240005F7129377
:101530000202B0B1B2B33E3CD7F73591010C0002C4
:1015400001242400082424240004F714930202B088
:10155000B1B2B33E3CD7F73791010C0009242424E3
:1015600000062424240003F716930202B0B1B2B39C
:101570003E3CD7F73991010C0006242424000424B2
:1015800024240002F718930202B0B1B2B33E3CD754
:10159000F79C910107E7E6E5E4E3E2E13E3CD71181
:1015A000EF823E03121C121C12F73191010D000054
:1015B00000012400000624240000043E3CD711EF63
:1015C000823E04121C121C12F73191010D0000071B
:1015D000242400000524240000033E3CD711EF82A0
:1015E0003E05121C121C12F73191010D000005245A
:1015F0002400000324240000023E3CD7DF7316CDF4
:101600004F13CD8C07CD9D18CD3F033E0632C182CE
:10161000AF320980320B80CDD918AF32D0823C3244
:10162000C08232C58232D8823202823280823E78D3
:10163000D73E1032D182CD75123E0832D5823E019E
:10164000322E82320382328182C93A0B83A7281458
:10165000F7FF9201061D120D0E1B0C3E0132168380
:10166000CDB002C9F79F920109220A1519240E0E66
:101670001B0FC93A0880A72004DF5214C9CD231BD1
:101680003A1583A7C811880221808006204E2C7E3F
:101690002CBA200279BB28062C2C10F1180B7DD611
:1016A000820F0FC6606F7EA7C0216080010020718D
:1016B0002C10FCDF5817AF321783321080320980AC
:1016C000320B80320282320382322E8232808232A8
:1016D000818232C08232C58232D08232D8823C329C
:1016E00019833A0983320A80CDD918CD4F1321527C
:1016F0001B11E082012000EDB0CD9D18CD4A16CD22
:101700002802F7ED90011117181D1D1E0B241D1B3B
:101710000A1D1C24111C1E193A0A833C2826F79422
:101720009001181C1D19240000002424241B180FEC
:101730002410171214241C1E17180B110A8321944D
:1017400091CDBB02F73891010E0008090124E7E6AC
:10175000E5E4E3E2E124E0C93A15833D2015F71002
:1017600091010E2422151718241B0E220A15192484
:10177000011813F71091010E1C1B0E220A151924D3
:1017800002241B182401CD3F032100A8AFCB462023
:101790000A3A15833DC8CB4EC83E01320283DFAF03
:1017A000183A0B83A7280C3A02832FC69A21158377
:1017B000862777AF321683321983210D80772C77F5
:1017C0002C77212D80772C772C773C32C08232C544
:1017D0008232D8823EC0321C8321008011208006D4
:1017E000207E122C1C10FA3A0283A72009212080A7
:1017F0000620772C10FC210722CD1D20CD04183E99
:10180000F0D71845CD4F13CD8C07CD9D18CD3F0394
:10181000CD7718CD50193E0632C182AF32D0823218
:10182000C382CD74083E0132C08232C58232D88272
:10183000321A832104A0772C772C772C772100A8EB
:10184000772C772C77C9CD04183E3CD73E1032D187
:1018500082CD75123E0832D5823E01328082322E10
:1018600082210A8035CD77183E78D73E0132028238
:10187000320382328182C93A0A804F3E0591FD21AE
:101880009E92114000280847CDC819FD1910F9791A
:10189000A7C8473E2CCDD719FD1910F7C9F760919D
:1018A000010A0E1B180C1C2411101211C329033A33
:1018B0000880A7C0DF2600AF320382328182216513
:1018C00023CD4D203EB4D7DFAF18CDD9183E78D701
:1018D0003E01320382328182C93E2A3208803E09AB
:1018E000320082AF32C382CD740821C0191100804A
:1018F000010800EDB02109807EC60127FE493001B4
:1019000077210B807EA728033A0D83C60186380114
:101910007721E719CD331D32048221F919CD331D0A
:10192000320582210B1ACD331D320682210D1ACDCC
:10193000331D32078221211ACD331D320882213511
:101940001ACD331D32098221371ACD331D320A8256
:10195000210000228482215E901120003A0980E655
:101960000F282CFE05281C3802D6054736FC2C36DD
:10197000FD1936FF2D36FE1910F23A0980E60FFEEA
:1019800005380C36262C36271936292D3628193AD3
:1019900009800F0F0F0FE60F280F47362A2C362726
:1019A0001936292D362B1910F27CFE9220037DFE6C
:1019B0005EC836242C36241936242D36241918E90D
:1019C000FC3FFC3FF81FF00F3E24FD7700FD770140
:1019D000FD7720FD7721C9FD77003CFD77013CFDB7
:1019E00077203CFD7721C90110021203140415066B
:1019F0001607140B170C14FF18011002120314041D
:101A000015061607140B170C14FF18FF0C01100213
:101A100012031404150616071209170B180C14FFED
:101A20001901100212031404150616071209170BE8
:101A3000180C14FF19FF0EFF203A1783A72804DFA4
:101A40005214C9DF2600AF321A8332028232038277
:101A5000322E8232808232818232C08232C582321C
:101A6000D08232D8822104A0772C772C772C772152
:101A700000A8772C772C773A0283A7282C3A0A8083
:101A8000A7201501881ACFC9CD091BCDE61A3EF053
:101A9000D7DF951AC9DF26003A2A80A7280BCDCDBB
:101AA0001A014618CFDFAF18C93A0A80A720F20101
:101AB000B41ACFC9AF32D882CD091B3E78D73A03CA
:101AC00083A7C4CD1AAF321C83DF5214C90620117C
:101AD00000802120801A4EEB12711C2C10F721037C
:101AE000837EEE0177C9F7F29101061B0E220A15DB
:101AF000193A0383A72009F7729101030E1718C939
:101B0000F77291010318201DC9218D911120000148
:101B10002409711910FC21729111200001240A710D
:101B20001910FCF7949101091B0E1F18240E160AB8
:101B300010C9070707020203030404050500000398
:101B4000030000000000000000000707060606066C
:101B50000101070707070707070707070707070721
:101B60000707070707070404040401010101010135
:101B70000101070707070707070707070707070701
:101B80000702020202020303040405050101010128
:101B9000010121898235C0CD151D3289823A038227
:101BA000A7C83A0880A7C8FE0A380A2100827ED654
:101BB00001DA4A1F770607DD212082DD7E00A72893
:101BC00042DD23DD2310F4C93AC382E6F80F0F0F7C
:101BD000472FC602FEF03801AFE60F4F78FE1030F7
:101BE000012FE60F3DF2E91BAFFE0D38023E0D213D
:101BF0000000A728064737ED6A10FB79A7C84729D8
:101C000010FDC9CDC81BFD210080FD7E00FDB60280
:101C1000FDB604FDB606A55FFD7E01FDB603FDB66B
:101C200005FDB607A4572108803AC18287381901FB
:101C3000000178A3202C0CCB0030F72C0E0078A2EA
:101C400020200CCB0030F7C92C01078078A220128D
:101C50000DCB0830F72D0E0778A320060DCB0830EA
:101C6000F7C92D2D78A628FAAE77790F0F570F8573
:101C7000D600DD77107AE6C05F7AE601577DD600A0
:101C80000F3002141487835FFD210390FD19CDC826
:101C900019DD36F02CDD7E10E61E21E382E7DD77CC
:101CA000F1DD7E10E6E067DD7E100FCB1C878787B5
:101CB00087C6186FDD7E10E61E0FC6C85F16821A33
:101CC0008467DD7550DD7451E52182827E070786C9
:101CD0003C77E67FC640E1571EFFCDE103673A043B
:101CE000825FCD9703DD743161CD9703DD7430DD04
:101CF0007E100F2FE680DD7721DD36000121652380
:101D0000CD1D200608AF210080B62C10FCA7C032E4
:101D1000C382C374083A0880FE0730033E0AC92113
:101D20004F1D3A8582BE2338062804232318F65E09
:101D30002356EB3A0D83A73A0B802009FE10380595
:101D40003E0C320B803DBE2338032318F97EC904B4
:101D50005E1D08721D0C821D10921DFF9E1D0178D4
:101D600002500346043C053706320750082D092867
:101D7000FF230164023C0332052D0628073C08239B
:101D8000FF1E0150023203280523061E0732081EDB
:101D9000FF1901500228061E07280819FF14013CEC
:101DA000031E05190614071EFF14218A8235C0364A
:101DB0001E3A0880A7C83AD0823DC03A8182A7C89F
:101DC0000100072190827E2CB628010C2C10F721EF
:101DD000B01E3A08803DBE233804232318F85E2342
:101DE00056EBCD331D3DB9D8CDC81BDD210080DDBC
:101DF0007E00DDB602DDB604DDB606A55FDD7E0140
:101E0000DDB603DDB605DDB607A457DD218E82DD24
:101E100023DD23DD7E00DDB60120F47AB3CA8C1EFB
:101E2000CDE91F2109808738012D4F0707073C475F
:101E30003E800710FD472D2D78A628FA617DD6003B
:101E40006FE61E0FC6C85F7D0FCB1C87878787C6CE
:101E5000246F16821AC6078467DD7520DD74213A67
:101E6000D382C608571EC8CDE10367C640FE81383D
:101E70000BFE400E6E2640F27C1E26C01E1CCD9727
:101E800003DD740161CD9703DD7400C90607FD21F0
:101E90002082FD7E003D2007FD7E50FE803807FD3C
:101EA00023FD2310EDC9C60C6FFD7E51C60718A88F
:101EB00006BC1E12C81E1ED41EFFDC1E0103020437
:101EC000060507040E06FF070102020306040703C6
:101ED0000E05FF06020207030E04FF0507020E03AC
:101EE000FF0421888235C036103AD882A7C821C4A1
:101EF000827E3CFE0C3801AF772100804E0608CB75
:101F000001DC0D1F10F92C7DFE0838F0C9E5110029
:101F10008019EBCB3B783002C6083D6F260029299B
:101F20002929291929EBFD210390FD193AC582A71A
:101F300028033AC482213E1FE7CDD719E1C9B0B4C6
:101F4000B8BCB8B4B0B4B8BCB8B4010007112082B2
:101F50001AA720010C1C1C10F779FE03D83E093289
:101F60000082CDC81B1100800110001AA5C5471CB6
:101F70001AA4B0C128020437CB111C30EE78FE033E
:101F8000D83EFF280C3AD782E6033C473E7F071035
:101F9000FDA10604050F38FC7832018287C6004F88
:101FA000060421008079BD280BC5E5CDBF1FDD36B5
:101FB0000005E1C12C2C10ED216523CD1D20C9E5C4
:101FC0005E2C56CDE91FE18730012C0707074F3CF7
:101FD000473E800710FDAE77DD212082DD7E00A721
:101FE000CA6A1CDD23DD2318F32182827E0707865F
:101FF0003C770F0F0F0FAEE60F3C47EBAFD6102923
:1020000030FB2C10F8C9A7280C473A1783A7C03A11
:102010000E83A7C0783200B00F3202B0C93A1783DE
:10202000A7C03A0E83A720037E87D8CD5B20360158
:102030002C3600C93A1783A7C03A0E83A720037E27
:1020400087D8CD5B207EA7C0342C3600C9CD5B205D
:1020500036003EFF3228833200B8C911FB201A1324
:10206000BD20041ABC28031318F421445F19C906C3
:1020700010DD214080C5CD9320C1DD23DD2310F587
:102080003A26833207A83A27833206A83A288332B1
:1020900000B8C9DD7E00A7C83E10908721FB20E76D
:1020A0005F23566B62DD7E00E74707073226830712
:1020B00032278378E61F21DB20E7322883788730B8
:1020C00005DD360000C9DD7E01D6013804DD77016B
:1020D000C91AE67FDD7701DD3400C9000E1C293501
:1020E000404B555E6770787F878D949A9FA5AAAF05
:1020F000B3B7BBBFC3C6C9CCCFD2FF652365234BE3
:10210000224B224B224B221D2193221B211B211BE0
:10211000211B218522072275226B22009F8011132B
:102120001113111311131D1C1A18161513111F1F4B
:102130001F1F1F1F1F1F1F1F1F1F1F1F1F1F0507E1
:1021400005070507050711100E0C0A0907051F1FD3
:102150001F1F1F1F1F1F1F1F1F1F1F1F1F1F0C0EB3
:102160000C0E0C0E0C0E1817151311100E0C1F1F51
:102170001F1F1F1F1F1F1F1F1F1F1F1F1F1F0002AB
:102180000002000200020C0B0907050402001F1FD9
:102190001F1F1F1F1F1F11131113111311131D1CBC
:1021A0001A18161513111F1F1F1F1F1F1F1F1F1F78
:1021B0001F1F1F1F1F1F0507050705070507111014
:1021C0000E0C0A0907051F1F1F1F1F1F1F1F1F1FA0
:1021D0001F1F1F1F1F1F0C0E0C0E0C0E0C0E1817AE
:1021E000151311100E0C1F1F1F1F1F1F1F1F1F1F56
:1021F0001F1F1F1F1F1F00020002000200020C0B06
:102200000907050402009F045A5A5A1F5855551FC2
:10221000535A5A1F5855551F535C5A5857555352C5
:10222000504E5052535557585A5C5A5A1F5855558C
:102230001F535A5A1F5855551F534E504E50535501
:102240005355585A585A5C5E5C5E9F000011021349
:1022500005180B1D0E1E001E0E001E0E1D0C1B0A67
:10226000100817051403120211019F0060626465D3
:1022700067696B6C9F047E1F7E1F7E1F7E1F7E1F03
:102280007E1F7E7E9F850C0C1F07091F07091F0CF0
:102290001F0C9F80000203040504030201030405D0
:1022A00006050403020405060706050403050607E0
:1022B00008070605040607080908070605070809B0
:1022C0000A0908070608090A0B0A090807090A0B80
:1022D0000C0B0A09080A0B0C0D0C0B0A090B0C0D50
:1022E0000E0D0C0B0A0C0D0E0F0E0D0C0B0D0E0F20
:1022F000100F0E0D0C0E0F1011100F0E0D0F1011F0
:102300001211101F0E101112131211100F111213AF
:10231000141312111012131415141312111314158F
:10232000161514131214151617161514131516175F
:10233000181716151416171819181716151718192F
:102340001A1918171618191A1B1A191817191A1BFF
:102350001C1B1A19181A1B1C1D1C1B1A191B1C1DCF
:102360001E1D1C1B9F00100E0D0C0B0C0D0E0F0DD7
:102370000C0B0A0B0C0D0E0C0B0A090A0B0C0D0BA7
:102380000A0908090A0B0C0A09080708090A0B09B7
:102390000807060708090A080706050607080908C6
:1023A00007060504050607080605040304050607D5
:1023B00005040302030405060504030201020304E5
:1023C00005030201000102039FF523482400800F4A
:1023D0000448240080F004CF24482400900F0448CF
:1023E000240090F004482400980F0148240098F03D
:1023F00001C624E52411FC262100000100103A004A
:10240000B879864F2C20FA2410F41AB92014137BC3
:10241000FEFE38E701000828E53E183202923E141D
:1024200018037BD6FB21E29177061821A292112096
:10243000003616197019361BFE14C83A00B83A0057
:10244000A0CB7720F6C30000160FE1C15A3A00B8BE
:102450007B0F0F0F0F8380A1777B8787833C5F2CD7
:1024600020EE2410E83B3B3B3BE1C15A3A00B87BED
:102470000F0F0F0F8380AEA120177B8787833C5FF0
:102480002C20EC2410E6153B3B3B3BF24A24E1C1F7
:10249000C979E6014F7C0F0FE60620023E0293FE4B
:1024A0000338174F21009011008006043A00B81A33
:1024B000771C2C20FA142410F37921E49177060A72
:1024C00021A492C32E243E183204823E1418D42133
:1024D00000801100900100043A00B81A7723130B12
:1024E00079B020F4C93100843A00B8210098010085
:1024F00080712C10FC010520210198712C2C10FBFF
:10250000AF3204B00100283A00B80D20FA10F83AB2
:1025100000B83A00A8CB6F20F63E013204B00100AB
:10252000283A00B80D20FA10F83A00B83A00A8CBC3
:102530006F28F63A00B83A00A8CB6F20F63E013279
:1025400000A03201A03202A03204833201B03A006E
:10255000A0E64020F93201B03204833D3200B821B8
:10256000009001000436F6230B79B020F80100003A
:102570003A00B80D20FA10F83A00B83A00A0CB772C
:1025800020F6318625C92513E013CD9313CD9F2561
:10259000CDBF25CD1326CD4526CD5526C31A013AEC
:1025A0000883A7200DF7269201071D1110121B1991
:1025B0001EC9F72692010724240E150B0A1DC93ADD
:1025C0000B83A72017F7E890011124242424242446
:1025D0002424220A1519240E0E1B0FC932E8923D3D
:1025E0003E2432089228023E1C3228923A0C833252
:1025F000E8913D3E2428023E1C32E890F708910104
:10260000071D120D0E1B0C24F74892010517121816
:102610000C24C9F72A92010724241C1E17180B3A10
:102620000A833C2813F74A91010524240000002165
:102630000A92110A83C3BB02F74A910107101712CD
:10264000111D1817C9F78C920104101712143A09BA
:102650008332EC91C93E013206A83207A801FF0B74
:10266000DD21CD26210081E5DD7E00E6F8571E0044
:10267000DD7E00E6073CC5471A2F0F10FDC1380369
:10268000DD4E017E1777E60FFE0CCCBF26E12CDD78
:1026900023DD2310D2793200B821108111E3260600
:1026A000101AFEFF2802BEC0132C10F5F778910116
:1026B0000D180C160A1724220B240E0D0A16C9C574
:1026C00006102110817E712C4F10FAC1C9A200A3FF
:1026D0001CA435A840A955AA67AB78AC7FA78DA0EC
:1026E0009AA19F55555540351C001C1C4035355549
:1026F000FFFFFF0000000000000000000CB390B1DD
:10270000000306090C101316191C1F2225282B2E56
:10271000313336393C3F414447494C4E515355586B
:102720005A5C5E60626466686A6B6D6F7071737428
:10273000757678797A7A7B7C7D7D7E7E7E7F7F7FE1
:102740007F7F7F7F7E7E7E7D7D7C7B7A7A797876C7
:1027500075747371706F6D6B6A68666462605E5CDD
:102760005A585553514E4C494744413F3C393633F2
:10277000312E2B2825221F1C191613100C090603B5
:1027800000FDFAF7F4F0EDEAE7E4E1DEDBD8D5D2BC
:10279000CFCDCAC7C4C1BFBCB9B7B4B2AFADABA887
:1027A000A6A4A2A09E9C9A9896959391908F8D8CAA
:1027B0008B8A8887868685848383828282818181D1
:1027C0008181818182828283838485868687888ACB
:1027D0008B8C8D8F9091939596989A9C9EA0A2A495
:1027E000A6A8ABADAFB2B4B7B9BCBFC1C4C7CACD60
:1027F000CFD2D5D8DBDEE1E4E7EAEDF0F4F7FAFD7D
:00000001FF

View File

@ -1,2 +1,2 @@
`define BUILD_DATE "181022"
`define BUILD_TIME "174005"
`define BUILD_DATE "181028"
`define BUILD_TIME "105037"

View File

@ -1,5 +1,5 @@
------------------------------------------------------------------------------
-- FPGA GALAXIAN
-- FPGA King and Balloon
--
-- Version downto 2.50
--
@ -21,9 +21,7 @@ library ieee;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
--use work.pkg_galaxian.all;
entity galaxian is
entity kingballoon is
port(
W_CLK_18M : in std_logic;
W_CLK_12M : in std_logic;
@ -46,7 +44,7 @@ entity galaxian is
);
end;
architecture RTL of galaxian is
architecture RTL of kingballoon is
-- CPU ADDRESS BUS
signal W_A : std_logic_vector(15 downto 0) := (others => '0');
-- CPU IF

View File

@ -1,4 +0,0 @@
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
set_global_assignment -name IP_TOOL_VERSION "13.0"
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll.vhd"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]