mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-20 01:34:38 +00:00
IremM62: sound board ROM address decode fix
This commit is contained in:
parent
1de5362bd0
commit
b129cc313f
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>5</part></rom>
|
||||
<rom index="0" zip="horizon.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="horizon.zip" md5="573ef5ced961ede734cdde94153fcb7a" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="hrza-4e"/>
|
||||
<part name="hrza-4d"/>
|
||||
|
||||
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>4</part></rom>
|
||||
<rom index="0" zip="kungfum.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="kungfum.zip" md5="e4dd9d396dd574a11189c2183cf4cead" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="a-4e-c.bin"/>
|
||||
<part name="a-4d-c.bin"/>
|
||||
@ -17,15 +17,10 @@
|
||||
<part name="a-4d-c.bin"/>
|
||||
|
||||
<!-- SND CPU2, 64k -->
|
||||
<part repeat="0xa000">FF</part>
|
||||
<part name="a-3e-.bin"/>
|
||||
<part name="a-3f-.bin"/>
|
||||
<part name="a-3h-.bin"/>
|
||||
<part name="a-3h-.bin"/>
|
||||
|
||||
<part name="a-3e-.bin"/>
|
||||
<part name="a-3f-.bin"/>
|
||||
<part name="a-3h-.bin"/>
|
||||
<part name="a-3h-.bin"/>
|
||||
|
||||
<!-- GFX1, 128k -->
|
||||
<group width="32">
|
||||
|
||||
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>1</part></rom>
|
||||
<rom index="0" zip="ldrun2.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="ldrun2.zip" md5="46dbb2c6765031bd796a2c62b64c4ba2" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="lr2-a-4e.a"/>
|
||||
<part name="lr2-a-4d"/>
|
||||
@ -26,15 +26,11 @@
|
||||
<part name="lr2-h-1d.a"/>
|
||||
|
||||
<!-- SND CPU2, 64k -->
|
||||
<part name="lr2-a-3e"/>
|
||||
<part name="lr2-a-3f"/>
|
||||
<part name="lr2-a-3h"/>
|
||||
<part name="lr2-a-3h"/>
|
||||
<part repeat="0xa000">FF</part>
|
||||
|
||||
<part name="lr2-a-3e"/>
|
||||
<part name="lr2-a-3f"/>
|
||||
<part name="lr2-a-3h"/>
|
||||
<part name="lr2-a-3h"/>
|
||||
|
||||
<!-- GFX1, 128k -->
|
||||
<group width="32">
|
||||
|
||||
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>2</part></rom>
|
||||
<rom index="0" zip="ldrun3.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="ldrun3.zip" md5="a32c8e0a4927c70199174dea1600e761" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="lr3a4eb.bin"/>
|
||||
<part name="lr3a4db.bin"/>
|
||||
|
||||
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>3</part></rom>
|
||||
<rom index="0" zip="ldrun4.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="ldrun4.zip" md5="cd633975a81980cefe104543024cc7ee" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="lr4-a-4e"/>
|
||||
<part name="lr4-a-4d.c"/>
|
||||
|
||||
@ -5,7 +5,7 @@
|
||||
<manufacturer>Irem</manufacturer>
|
||||
<rbf>iremm62</rbf>
|
||||
<rom index="1"><part>0</part></rom>
|
||||
<rom index="0" zip="ldrun.zip" md5="9e4c8423a33f0c5bf558d475d89e041a" type="merged|nonmerged">
|
||||
<rom index="0" zip="ldrun.zip" md5="dbd20cd8f5d2555911090e62e4621920" type="merged|nonmerged">
|
||||
<!-- CPU1, 128k -->
|
||||
<part name="lr-a-4e"/>
|
||||
<part name="lr-a-4d"/>
|
||||
|
||||
@ -103,7 +103,7 @@ wire [16:0] rom_addr;
|
||||
wire [15:0] rom_do;
|
||||
|
||||
wire [17:0] snd_addr;
|
||||
wire [13:0] snd_rom_addr;
|
||||
wire [15:0] snd_rom_addr;
|
||||
wire [15:0] snd_do;
|
||||
wire snd_vma;
|
||||
|
||||
|
||||
@ -32,7 +32,7 @@ port(
|
||||
|
||||
select_sound : in std_logic_vector(7 downto 0);
|
||||
audio_out : out std_logic_vector(11 downto 0);
|
||||
snd_rom_addr : out std_logic_vector(13 downto 0);
|
||||
snd_rom_addr : out std_logic_vector(15 downto 0);
|
||||
snd_rom_do : in std_logic_vector(7 downto 0);
|
||||
snd_vma : out std_logic;
|
||||
|
||||
@ -164,9 +164,9 @@ dbg_cpu_addr <= cpu_addr;
|
||||
-- cs
|
||||
wram_cs <= '1' when cpu_addr(15 downto 7) = X"00"&'1' else '0'; -- 0080-00FF
|
||||
ports_cs <= '1' when cpu_addr(15 downto 4) = X"000" else '0'; -- 0000-000F
|
||||
adpcm_cs <= '1' when cpu_addr(14) = '0' and cpu_addr(11) = '1' and cpu_addr(1 downto 0) /= "00" else '0'; -- 0801-0802
|
||||
irqraz_cs <= '1' when cpu_addr(14) = '0' and cpu_addr(11) = '1' and cpu_addr(1 downto 0) = "00" else '0'; -- 0800
|
||||
rom_cs <= '1' when cpu_addr(14) = '1' else '0'; -- 4000-7FFF / C000-FFFF
|
||||
adpcm_cs <= '1' when cpu_addr(15 downto 14) = "00" and cpu_addr(11) = '1' and cpu_addr(1 downto 0) /= "00" else '0'; -- 0801-0802
|
||||
irqraz_cs <= '1' when cpu_addr(15 downto 14) = "00" and cpu_addr(11) = '1' and cpu_addr(1 downto 0) = "00" else '0'; -- 0800
|
||||
rom_cs <= '1' when cpu_addr(15 downto 14) /= "00" else '0'; -- 4000-FFFF
|
||||
|
||||
-- write enables
|
||||
wram_we <= '1' when cpu_rw = '0' and wram_cs = '1' else '0';
|
||||
@ -349,17 +349,9 @@ port map(
|
||||
test_cc => open
|
||||
);
|
||||
|
||||
--rom_cpu : entity work.snd_prg
|
||||
--port map(
|
||||
-- clk => clock_E, -- E clock input (falling edge)
|
||||
-- addr => cpu_addr(13 downto 0),
|
||||
-- data => rom_do
|
||||
--);
|
||||
|
||||
snd_vma <= rom_cs and cpu_vma;
|
||||
snd_rom_addr <= cpu_addr(13 downto 0);
|
||||
snd_rom_addr <= cpu_addr(15 downto 0);
|
||||
|
||||
|
||||
-- cpu wram
|
||||
cpu_ram : entity work.spram
|
||||
generic map( widthad_a => 7)
|
||||
|
||||
BIN
Arcade_MiST/IremM62 Hardware/rtl/history/history.db
Normal file
BIN
Arcade_MiST/IremM62 Hardware/rtl/history/history.db
Normal file
Binary file not shown.
@ -46,7 +46,7 @@ entity target_top is port(
|
||||
|
||||
cpu_rom_addr : out std_logic_vector(16 downto 0);
|
||||
cpu_rom_do : in std_logic_vector(7 downto 0);
|
||||
snd_rom_addr : out std_logic_vector(13 downto 0);
|
||||
snd_rom_addr : out std_logic_vector(15 downto 0);
|
||||
snd_rom_do : in std_logic_vector(7 downto 0);
|
||||
snd_vma : out std_logic;
|
||||
gfx1_addr : out std_logic_vector(17 downto 2);
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user