mirror of
https://github.com/olofk/serv.git
synced 2026-01-13 07:09:33 +00:00
Add compatibility with Xilinx ISE
This commit is contained in:
parent
c0fc72b353
commit
2a76adc8db
@ -2,7 +2,8 @@
|
||||
module serv_rf_ram_if
|
||||
#(parameter width=8,
|
||||
parameter csr_regs=4,
|
||||
parameter depth=32*(32+csr_regs)/width)
|
||||
parameter depth=32*(32+csr_regs)/width,
|
||||
parameter l2w = $clog2(width))
|
||||
(
|
||||
//SERV side
|
||||
input wire i_clk,
|
||||
@ -27,8 +28,6 @@ module serv_rf_ram_if
|
||||
output wire [$clog2(depth)-1:0] o_raddr,
|
||||
input wire [width-1:0] i_rdata);
|
||||
|
||||
localparam l2w = $clog2(width);
|
||||
|
||||
reg rgnt;
|
||||
assign o_ready = rgnt | i_wreq;
|
||||
|
||||
|
||||
@ -3,7 +3,8 @@
|
||||
module serv_rf_top
|
||||
#(parameter RESET_PC = 32'd0,
|
||||
parameter WITH_CSR = 1,
|
||||
parameter RF_WIDTH = 2)
|
||||
parameter RF_WIDTH = 2,
|
||||
parameter RF_L2D = $clog2((32+(WITH_CSR*4))*32/RF_WIDTH))
|
||||
(
|
||||
input wire clk,
|
||||
input wire i_rst,
|
||||
@ -44,7 +45,6 @@ module serv_rf_top
|
||||
input wire i_dbus_ack);
|
||||
|
||||
localparam CSR_REGS = WITH_CSR*4;
|
||||
localparam RF_L2D = $clog2((32+CSR_REGS)*32/RF_WIDTH);
|
||||
|
||||
wire rf_wreq;
|
||||
wire rf_rreq;
|
||||
|
||||
@ -32,7 +32,9 @@ module servant_ram
|
||||
|
||||
initial
|
||||
if(|memfile) begin
|
||||
`ifndef ISE
|
||||
$display("Preloading %m from %s", memfile);
|
||||
`endif
|
||||
$readmemh(memfile, mem);
|
||||
end
|
||||
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user