mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-11 23:43:09 +00:00
M72 build also for SiDi (#1)
* Allows also SiDi build from subfolder * SiDi qpf & qsf
This commit is contained in:
parent
b4d6a95c8f
commit
8c9d403fc9
@ -31,7 +31,7 @@ module IremM72_MiST(
|
||||
output SDRAM_CKE
|
||||
);
|
||||
|
||||
`include "rtl/build_id.v"
|
||||
`include "build_id.v"
|
||||
|
||||
`define CORE_NAME "RTYPE2"
|
||||
//`define CORE_NAME "HHARRYU"
|
||||
|
||||
@ -17,7 +17,7 @@ proc generateBuildID_Verilog {} {
|
||||
set buildTime [ clock format [ clock seconds ] -format %H%M%S ]
|
||||
|
||||
# Create a Verilog file for output
|
||||
set outputFileName "rtl/build_id.v"
|
||||
set outputFileName "build_id.v"
|
||||
set outputFile [open $outputFileName "w"]
|
||||
|
||||
# Output the Verilog source
|
||||
@ -32,4 +32,4 @@ proc generateBuildID_Verilog {} {
|
||||
}
|
||||
|
||||
# Comment out this line to prevent the process from automatically executing when the file is sourced:
|
||||
generateBuildID_Verilog
|
||||
generateBuildID_Verilog
|
||||
|
||||
30
Arcade_MiST/IremM72 Hardware/sidi/IremM72.qpf
Normal file
30
Arcade_MiST/IremM72 Hardware/sidi/IremM72.qpf
Normal file
@ -0,0 +1,30 @@
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Copyright (C) 1991-2011 Altera Corporation
|
||||
# Your use of Altera Corporation's design tools, logic functions
|
||||
# and other software and tools, and its AMPP partner logic
|
||||
# functions, and any output files from any of the foregoing
|
||||
# (including device programming or simulation files), and any
|
||||
# associated documentation or information are expressly subject
|
||||
# to the terms and conditions of the Altera Program License
|
||||
# Subscription Agreement, Altera MegaCore Function License
|
||||
# Agreement, or other applicable license agreement, including,
|
||||
# without limitation, that your use is for the sole purpose of
|
||||
# programming logic devices manufactured by Altera and sold by
|
||||
# Altera or its authorized distributors. Please refer to the
|
||||
# applicable agreement for further details.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Quartus II
|
||||
# Version 10.1 Build 197 01/19/2011 Service Pack 1 SJ Full Version
|
||||
# Date created = 23:49:02 July 13, 2012
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
|
||||
QUARTUS_VERSION = "10.1"
|
||||
DATE = "23:49:02 July 13, 2012"
|
||||
|
||||
# Revisions
|
||||
|
||||
PROJECT_REVISION = "IremM72"
|
||||
255
Arcade_MiST/IremM72 Hardware/sidi/IremM72.qsf
Normal file
255
Arcade_MiST/IremM72 Hardware/sidi/IremM72.qsf
Normal file
@ -0,0 +1,255 @@
|
||||
set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Copyright (C) 1991-2013 Altera Corporation
|
||||
# Your use of Altera Corporation's design tools, logic functions
|
||||
# and other software and tools, and its AMPP partner logic
|
||||
# functions, and any output files from any of the foregoing
|
||||
# (including device programming or simulation files), and any
|
||||
# associated documentation or information are expressly subject
|
||||
# to the terms and conditions of the Altera Program License
|
||||
# Subscription Agreement, Altera MegaCore Function License
|
||||
# Agreement, or other applicable license agreement, including,
|
||||
# without limitation, that your use is for the sole purpose of
|
||||
# programming logic devices manufactured by Altera and sold by
|
||||
# Altera or its authorized distributors. Please refer to the
|
||||
# applicable agreement for further details.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Quartus II 64-Bit
|
||||
# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition
|
||||
# Date created = 21:06:00 February 29, 2020
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Notes:
|
||||
#
|
||||
# 1) The default values for assignments are stored in the file:
|
||||
# IremM72_MiST_assignment_defaults.qdf
|
||||
# If this file doesn't exist, see file:
|
||||
# assignment_defaults.qdf
|
||||
#
|
||||
# 2) Altera recommends that you do not modify this file. This
|
||||
# file is updated automatically by the Quartus II software
|
||||
# and any changes you make may be lost or overwritten.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
|
||||
|
||||
|
||||
# Project-Wide Assignments
|
||||
# ========================
|
||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
|
||||
set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:../rtl/build_id.tcl"
|
||||
set_global_assignment -name SMART_RECOMPILE ON
|
||||
|
||||
# Pin & Location Assignments
|
||||
# ==========================
|
||||
set_location_assignment PIN_G1 -to LED
|
||||
set_location_assignment PIN_E1 -to CLOCK_27
|
||||
set_location_assignment PIN_P16 -to VGA_R[5]
|
||||
set_location_assignment PIN_P15 -to VGA_R[4]
|
||||
set_location_assignment PIN_R16 -to VGA_R[3]
|
||||
set_location_assignment PIN_R14 -to VGA_R[2]
|
||||
set_location_assignment PIN_T15 -to VGA_R[1]
|
||||
set_location_assignment PIN_T14 -to VGA_R[0]
|
||||
set_location_assignment PIN_J16 -to VGA_B[5]
|
||||
set_location_assignment PIN_J15 -to VGA_B[4]
|
||||
set_location_assignment PIN_J14 -to VGA_B[3]
|
||||
set_location_assignment PIN_K16 -to VGA_B[2]
|
||||
set_location_assignment PIN_K15 -to VGA_B[1]
|
||||
set_location_assignment PIN_J13 -to VGA_B[0]
|
||||
set_location_assignment PIN_F16 -to VGA_G[5]
|
||||
set_location_assignment PIN_F15 -to VGA_G[4]
|
||||
set_location_assignment PIN_L16 -to VGA_G[3]
|
||||
set_location_assignment PIN_L15 -to VGA_G[2]
|
||||
set_location_assignment PIN_N15 -to VGA_G[1]
|
||||
set_location_assignment PIN_N16 -to VGA_G[0]
|
||||
set_location_assignment PIN_T10 -to VGA_VS
|
||||
set_location_assignment PIN_T11 -to VGA_HS
|
||||
set_location_assignment PIN_T12 -to AUDIO_L
|
||||
set_location_assignment PIN_T13 -to AUDIO_R
|
||||
set_location_assignment PIN_T2 -to SPI_DO
|
||||
set_location_assignment PIN_R1 -to SPI_DI
|
||||
set_location_assignment PIN_T3 -to SPI_SCK
|
||||
set_location_assignment PIN_T4 -to SPI_SS2
|
||||
set_location_assignment PIN_G15 -to SPI_SS3
|
||||
set_location_assignment PIN_G16 -to SPI_SS4
|
||||
set_location_assignment PIN_H2 -to CONF_DATA0
|
||||
set_location_assignment PIN_B14 -to SDRAM_A[0]
|
||||
set_location_assignment PIN_C14 -to SDRAM_A[1]
|
||||
set_location_assignment PIN_C15 -to SDRAM_A[2]
|
||||
set_location_assignment PIN_C16 -to SDRAM_A[3]
|
||||
set_location_assignment PIN_B16 -to SDRAM_A[4]
|
||||
set_location_assignment PIN_A15 -to SDRAM_A[5]
|
||||
set_location_assignment PIN_A14 -to SDRAM_A[6]
|
||||
set_location_assignment PIN_A13 -to SDRAM_A[7]
|
||||
set_location_assignment PIN_A12 -to SDRAM_A[8]
|
||||
set_location_assignment PIN_D16 -to SDRAM_A[9]
|
||||
set_location_assignment PIN_B13 -to SDRAM_A[10]
|
||||
set_location_assignment PIN_D15 -to SDRAM_A[11]
|
||||
set_location_assignment PIN_D14 -to SDRAM_A[12]
|
||||
set_location_assignment PIN_C3 -to SDRAM_DQ[0]
|
||||
set_location_assignment PIN_C2 -to SDRAM_DQ[1]
|
||||
set_location_assignment PIN_A4 -to SDRAM_DQ[2]
|
||||
set_location_assignment PIN_B4 -to SDRAM_DQ[3]
|
||||
set_location_assignment PIN_A6 -to SDRAM_DQ[4]
|
||||
set_location_assignment PIN_D6 -to SDRAM_DQ[5]
|
||||
set_location_assignment PIN_A7 -to SDRAM_DQ[6]
|
||||
set_location_assignment PIN_B7 -to SDRAM_DQ[7]
|
||||
set_location_assignment PIN_E6 -to SDRAM_DQ[8]
|
||||
set_location_assignment PIN_C6 -to SDRAM_DQ[9]
|
||||
set_location_assignment PIN_B6 -to SDRAM_DQ[10]
|
||||
set_location_assignment PIN_B5 -to SDRAM_DQ[11]
|
||||
set_location_assignment PIN_A5 -to SDRAM_DQ[12]
|
||||
set_location_assignment PIN_B3 -to SDRAM_DQ[13]
|
||||
set_location_assignment PIN_A3 -to SDRAM_DQ[14]
|
||||
set_location_assignment PIN_A2 -to SDRAM_DQ[15]
|
||||
set_location_assignment PIN_A11 -to SDRAM_BA[0]
|
||||
set_location_assignment PIN_B12 -to SDRAM_BA[1]
|
||||
set_location_assignment PIN_C9 -to SDRAM_DQMH
|
||||
set_location_assignment PIN_C8 -to SDRAM_DQML
|
||||
set_location_assignment PIN_A10 -to SDRAM_nRAS
|
||||
set_location_assignment PIN_B10 -to SDRAM_nCAS
|
||||
set_location_assignment PIN_D8 -to SDRAM_nWE
|
||||
set_location_assignment PIN_B11 -to SDRAM_nCS
|
||||
set_location_assignment PIN_C11 -to SDRAM_CKE
|
||||
set_location_assignment PIN_R4 -to SDRAM_CLK
|
||||
|
||||
# Classic Timing Assignments
|
||||
# ==========================
|
||||
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
|
||||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
||||
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
|
||||
|
||||
# Analysis & Synthesis Assignments
|
||||
# ================================
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY IremM72_MiST
|
||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
|
||||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
|
||||
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
|
||||
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED
|
||||
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
|
||||
set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE ON
|
||||
set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008
|
||||
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
|
||||
|
||||
# Fitter Assignments
|
||||
# ==================
|
||||
set_global_assignment -name DEVICE EP4CE22F17C8
|
||||
set_global_assignment -name FAMILY "Cyclone IV E"
|
||||
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
|
||||
set_global_assignment -name ENABLE_NCE_PIN OFF
|
||||
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
|
||||
set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
|
||||
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
|
||||
set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
|
||||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
|
||||
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
||||
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
||||
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
||||
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
||||
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
||||
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
|
||||
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
|
||||
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
|
||||
|
||||
# Assembler Assignments
|
||||
# =====================
|
||||
set_global_assignment -name GENERATE_RBF_FILE ON
|
||||
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
|
||||
|
||||
# SignalTap II Assignments
|
||||
# ========================
|
||||
set_global_assignment -name ENABLE_SIGNALTAP OFF
|
||||
set_global_assignment -name USE_SIGNALTAP_FILE output_files/cpu2.stp
|
||||
|
||||
# Power Estimation Assignments
|
||||
# ============================
|
||||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
|
||||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
||||
|
||||
# Advanced I/O Timing Assignments
|
||||
# ===============================
|
||||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
|
||||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
|
||||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
|
||||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
|
||||
|
||||
# -----------------------------
|
||||
# start ENTITY(IremM72_MiST)
|
||||
|
||||
# Pin & Location Assignments
|
||||
# ==========================
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[*]
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[*]
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[0]
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[1]
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQMH
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQML
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nRAS
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCAS
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nWE
|
||||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCS
|
||||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[*]
|
||||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[*]
|
||||
|
||||
# Fitter Assignments
|
||||
# ==================
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_A[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_DQ[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_BA[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_DQML
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_DQMH
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_nRAS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_nCAS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_nWE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_nCS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_CKE
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_CLK
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to VGA_R[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to VGA_G[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to VGA_B[*]
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to VGA_HS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to VGA_VS
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to AUDIO_L
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to AUDIO_R
|
||||
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SPI_DO
|
||||
|
||||
# start DESIGN_PARTITION(Top)
|
||||
# ---------------------------
|
||||
|
||||
# Incremental Compilation Assignments
|
||||
# ===================================
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
|
||||
# end DESIGN_PARTITION(Top)
|
||||
# -------------------------
|
||||
|
||||
# end ENTITY(IremM72_MiST)
|
||||
# ---------------------------
|
||||
set_location_assignment PLL_1 -to pll|altpll_component|auto_generated|pll1
|
||||
set_global_assignment -name DSP_BLOCK_BALANCING "DSP BLOCKS"
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
|
||||
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION AUTO
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
|
||||
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/IremM72_MiST.sv
|
||||
set_global_assignment -name QIP_FILE ../rtl/pll_mist.qip
|
||||
set_global_assignment -name QIP_FILE ../rtl/m72.qip
|
||||
set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip
|
||||
set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip
|
||||
set_global_assignment -name QIP_FILE ../../../common/CPU/v30/V30.qip
|
||||
set_global_assignment -name QIP_FILE ../../../common/CPU/MC8051/mc8051.qip
|
||||
set_global_assignment -name QIP_FILE ../../../common/Sound/jt51/jt51.qip
|
||||
set_global_assignment -name SIGNALTAP_FILE output_files/cpu.stp
|
||||
set_global_assignment -name SIGNALTAP_FILE output_files/cpu2.stp
|
||||
set_global_assignment -name SIGNALTAP_FILE output_files/cpu3.stp
|
||||
set_global_assignment -name AUTO_RESOURCE_SHARING ON
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
Loading…
x
Reference in New Issue
Block a user