mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-20 01:34:38 +00:00
Midway MCR2: single RBF + MRA files
This commit is contained in:
parent
62e57df4dc
commit
ab15b9d765
@ -10,6 +10,12 @@
|
||||
-- Wacko: control Captain Krooz'r with the mouse, shoot with the joystick
|
||||
-- Kozmik Krooz'r: use the mouse for movement and shoot, rotate the turret with the joystick
|
||||
|
||||
-- Create ROM and ARC files from MAME ROM zip files using the mra utility and the MRA files.
|
||||
-- Copy the RBF and the ARC files to the same folder.
|
||||
-- Example: mra -z /path/to/mame/roms Tron.mra
|
||||
-- Copy the ROM files to the root of the SD Card.
|
||||
--
|
||||
-- MRA utilty: https://github.com/sebdel/mra-tools-c
|
||||
---------------------------------------------------------------------------------
|
||||
-- DE10_lite Top level for Satan Hollow (Midway MCR) by Dar (darfpga@aol.fr) (19/10/2019)
|
||||
-- http://darfpga.blogspot.fr
|
||||
@ -27,4 +27,4 @@ DATE = "13:02:51 November 09, 2019"
|
||||
|
||||
# Revisions
|
||||
|
||||
PROJECT_REVISION = "SatansHollow"
|
||||
PROJECT_REVISION = "mcr2"
|
||||
@ -25,7 +25,7 @@
|
||||
# Notes:
|
||||
#
|
||||
# 1) The default values for assignments are stored in the file:
|
||||
# SatansHollow_assignment_defaults.qdf
|
||||
# mcr2_assignment_defaults.qdf
|
||||
# If this file doesn't exist, see file:
|
||||
# assignment_defaults.qdf
|
||||
#
|
||||
@ -158,7 +158,7 @@ set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
||||
# Analysis & Synthesis Assignments
|
||||
# ================================
|
||||
set_global_assignment -name FAMILY "Cyclone III"
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY SatansHollow_MiST
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY MCR2_MiST
|
||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
|
||||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
|
||||
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
|
||||
@ -213,7 +213,7 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
|
||||
# end ENTITY(SatansHollow_MiST)
|
||||
# -----------------------------
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE rtl/SatansHollow_MiST.sv
|
||||
set_global_assignment -name SYSTEMVERILOG_FILE rtl/MCR2_MiST.sv
|
||||
set_global_assignment -name VHDL_FILE rtl/satans_hollow.vhd
|
||||
set_global_assignment -name VHDL_FILE rtl/satans_hollow_sound_board.vhd
|
||||
set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep.vhd
|
||||
34
Arcade_MiST/Midway MCR 2/MCR2/meta/Domino Man.mra
Normal file
34
Arcade_MiST/Midway MCR 2/MCR2/meta/Domino Man.mra
Normal file
@ -0,0 +1,34 @@
|
||||
<misterromdescription>
|
||||
<name>Domino Man</name>
|
||||
<mameversion>0216</mameversion>
|
||||
<mratimestamp>201912310000</mratimestamp>
|
||||
<year>1983</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Action</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>domino</setname>
|
||||
<switches>
|
||||
<dip bits="8" name="Music" ids="Off,On"/>
|
||||
<dip bits="9" name="Skin Color" ids="Light,Dark"/>
|
||||
</switches>
|
||||
<rom index="1">
|
||||
<part>5</part>
|
||||
</rom>
|
||||
<rom index="0" zip="domino.zip" md5="112359d5a207884821f6df0f0c1c4d2c" type="merged|nonmerged">
|
||||
<part crc="3bf3bb1c" name="dmanpg0.bin"/>
|
||||
<part crc="85cf1d69" name="dmanpg1.bin"/>
|
||||
<part crc="7dd2177a" name="dmanpg2.bin"/>
|
||||
<part crc="f2e0aa44" name="dmanpg3.bin"/>
|
||||
<part repeat="16384">00</part>
|
||||
<part crc="fa982dcc" name="dm-a7.snd"/>
|
||||
<part crc="72839019" name="dm-a8.snd"/>
|
||||
<part crc="ad760da7" name="dm-a9.snd"/>
|
||||
<part crc="958c7287" name="dm-a10.snd"/>
|
||||
<part crc="9163007f" name="dmanbg0.bin"/>
|
||||
<part crc="28615c56" name="dmanbg1.bin"/>
|
||||
<part crc="0b1f9f9e" name="dmanfg0.bin"/>
|
||||
<part crc="16aa4b9b" name="dmanfg1.bin"/>
|
||||
<part crc="4a8e76b8" name="dmanfg2.bin"/>
|
||||
<part crc="1f39257e" name="dmanfg3.bin"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
31
Arcade_MiST/Midway MCR 2/MCR2/meta/Kozmik Kroozr.mra
Normal file
31
Arcade_MiST/Midway MCR 2/MCR2/meta/Kozmik Kroozr.mra
Normal file
@ -0,0 +1,31 @@
|
||||
<misterromdescription>
|
||||
<name>Kozmik Krooz'r</name>
|
||||
<mameversion>0216</mameversion>
|
||||
<mratimestamp>201912310000</mratimestamp>
|
||||
<year>1983</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Action</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>kroozr</setname>
|
||||
<rom index="1">
|
||||
<part>4</part>
|
||||
</rom>
|
||||
<rom index="0" zip="kroozr.zip" md5="0435ff192c0b93107af54d69d7eaf48f" type="merged|nonmerged">
|
||||
<part crc="61e02045" name="kozmkcpu.2d"/>
|
||||
<part crc="caabed57" name="kozmkcpu.3d"/>
|
||||
<part crc="2bc83fc7" name="kozmkcpu.4d"/>
|
||||
<part crc="a0ec38c1" name="kozmkcpu.5d"/>
|
||||
<part crc="7044f2b6" name="kozmkcpu.6d"/>
|
||||
<part repeat="8192">00</part>
|
||||
<part crc="6736e433" name="kozmksnd.7a"/>
|
||||
<part crc="ea9cd919" name="kozmksnd.8a"/>
|
||||
<part crc="9dfa7994" name="kozmksnd.9a"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="eda6ed2d" name="kozmkcpu.3g"/>
|
||||
<part crc="ddde894b" name="kozmkcpu.4g"/>
|
||||
<part crc="ca60e2cc" name="kozmkvid.1e"/>
|
||||
<part crc="4e23b35b" name="kozmkvid.1d"/>
|
||||
<part crc="c6041ba7" name="kozmkvid.1b"/>
|
||||
<part crc="b57fb0ff" name="kozmkvid.1a"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
31
Arcade_MiST/Midway MCR 2/MCR2/meta/Satans Hollow.mra
Normal file
31
Arcade_MiST/Midway MCR 2/MCR2/meta/Satans Hollow.mra
Normal file
@ -0,0 +1,31 @@
|
||||
<misterromdescription>
|
||||
<name>Satan's Hollow</name>
|
||||
<mameversion>0216</mameversion>
|
||||
<mratimestamp>201912310000</mratimestamp>
|
||||
<year>1983</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Action</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>shollow</setname>
|
||||
<rom index="1">
|
||||
<part>0</part>
|
||||
</rom>
|
||||
<rom index="0" zip="shollow.zip" md5="cc2c7141ad992cffa1bd34ee74952152" type="merged|nonmerged">
|
||||
<part crc="95e2b800" name="sh-pro.00"/>
|
||||
<part crc="b99f6ff8" name="sh-pro.01"/>
|
||||
<part crc="1202c7b2" name="sh-pro.02"/>
|
||||
<part crc="0a64afb9" name="sh-pro.03"/>
|
||||
<part crc="22fa9175" name="sh-pro.04"/>
|
||||
<part crc="1716e2bb" name="sh-pro.05"/>
|
||||
<part crc="55a297cc" name="sh-snd.01"/>
|
||||
<part crc="46fc31f6" name="sh-snd.02"/>
|
||||
<part crc="b1f4a6a8" name="sh-snd.03"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="3e2b333c" name="sh-bg.00"/>
|
||||
<part crc="d1d70cc4" name="sh-bg.01"/>
|
||||
<part crc="33f4554e" name="sh-fg.00"/>
|
||||
<part crc="ba1a38b4" name="sh-fg.01"/>
|
||||
<part crc="6b57f6da" name="sh-fg.02"/>
|
||||
<part crc="37ea9d07" name="sh-fg.03"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
34
Arcade_MiST/Midway MCR 2/MCR2/meta/Tron.mra
Normal file
34
Arcade_MiST/Midway MCR 2/MCR2/meta/Tron.mra
Normal file
@ -0,0 +1,34 @@
|
||||
<misterromdescription>
|
||||
<name>Tron</name>
|
||||
<mameversion>0218</mameversion>
|
||||
<mratimestamp>202002110000</mratimestamp>
|
||||
<year>1982</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Action</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>tron</setname>
|
||||
<switches>
|
||||
<dip bits="8" name="Allow Continue" ids="No,Yes"/>
|
||||
</switches>
|
||||
<rom index="1">
|
||||
<part>1</part>
|
||||
</rom>
|
||||
<rom index="0" zip="tron.zip" md5="8b232b334aa20263ab1c3a77796f8885" type="merged|nonmerged">
|
||||
<part crc="0de0471a" name="pro0.d2"/>
|
||||
<part crc="8ddf8717" name="scpu_pgb.d3"/>
|
||||
<part crc="4241e3a0" name="scpu_pgc.d4"/>
|
||||
<part crc="035d2fe7" name="scpu_pgd.d5"/>
|
||||
<part crc="24c185d8" name="scpu_pgc.d6"/>
|
||||
<part crc="38c4bbaf" name="scpu_pgd.d7"/>
|
||||
<part crc="765e6eba" name="ssi_0a.a7"/>
|
||||
<part crc="1b90ccdd" name="ssi_0b.a8"/>
|
||||
<part crc="3a4bc629" name="ssi_0c.a9"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="1a9ed2f5" name="scpu_bgg.g3"/>
|
||||
<part crc="3220f974" name="scpu_bgh.g4"/>
|
||||
<part crc="bc036d1d" name="vga.e1"/>
|
||||
<part crc="58ee14d3" name="vgb.dc1"/>
|
||||
<part crc="3329f9d4" name="vgc.cb1"/>
|
||||
<part crc="9743f873" name="vga.a1"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
47
Arcade_MiST/Midway MCR 2/MCR2/meta/Two Tigers.mra
Normal file
47
Arcade_MiST/Midway MCR 2/MCR2/meta/Two Tigers.mra
Normal file
@ -0,0 +1,47 @@
|
||||
<misterromdescription>
|
||||
<name>Two Tigers (Tron conversion)</name>
|
||||
<mameversion>0218</mameversion>
|
||||
<mratimestamp>202002110000</mratimestamp>
|
||||
<year>1984</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Shooter</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>twotigerc</setname>
|
||||
<rom index="1">
|
||||
<part>2</part>
|
||||
</rom>
|
||||
<rom index="0" zip="twotiger.zip" md5="fa00775a4b4c94b464bb402b3aa2c1be" type="merged">
|
||||
<part crc="e77a924b" name="2tgrpg0.bin"/>
|
||||
<part crc="2699ebdc" name="2tgrpg1.bin"/>
|
||||
<part crc="b5ca3f17" name="2tgrpg2.bin"/>
|
||||
<part crc="8aa82049" name="2tgrpg3.bin"/>
|
||||
<part repeat="16384">00</part>
|
||||
<part crc="4620d970" name="2tgra7.bin"/>
|
||||
<part crc="e95d8cfe" name="2tgra8.bin"/>
|
||||
<part crc="81e6ce0e" name="2tgra9.bin"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="52f69068" name="2tgrbg0.bin"/>
|
||||
<part crc="758d4f7d" name="2tgrbg1.bin"/>
|
||||
<part crc="4abf3ca0" name="2tgrfg0.bin"/>
|
||||
<part crc="fbcaffa5" name="2tgrfg1.bin"/>
|
||||
<part crc="08e3e1a6" name="2tgrfg2.bin"/>
|
||||
<part crc="9b22697b" name="2tgrfg3.bin"/>
|
||||
</rom>
|
||||
<rom index="0" zip="twotigerc.zip" md5="fa00775a4b4c94b464bb402b3aa2c1be" type="nonmerged">
|
||||
<part crc="e77a924b" name="2tgrpg0.bin"/>
|
||||
<part crc="2699ebdc" name="2tgrpg1.bin"/>
|
||||
<part crc="b5ca3f17" name="2tgrpg2.bin"/>
|
||||
<part crc="8aa82049" name="2tgrpg3.bin"/>
|
||||
<part repeat="16384">00</part>
|
||||
<part crc="4620d970" name="2tgra7.bin"/>
|
||||
<part crc="e95d8cfe" name="2tgra8.bin"/>
|
||||
<part crc="81e6ce0e" name="2tgra9.bin"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="52f69068" name="2tgrbg0.bin"/>
|
||||
<part crc="758d4f7d" name="2tgrbg1.bin"/>
|
||||
<part crc="4abf3ca0" name="2tgrfg0.bin"/>
|
||||
<part crc="fbcaffa5" name="2tgrfg1.bin"/>
|
||||
<part crc="08e3e1a6" name="2tgrfg2.bin"/>
|
||||
<part crc="9b22697b" name="2tgrfg3.bin"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
30
Arcade_MiST/Midway MCR 2/MCR2/meta/Wacko.mra
Normal file
30
Arcade_MiST/Midway MCR 2/MCR2/meta/Wacko.mra
Normal file
@ -0,0 +1,30 @@
|
||||
<misterromdescription>
|
||||
<name>Wacko</name>
|
||||
<mameversion>0216</mameversion>
|
||||
<mratimestamp>201912310000</mratimestamp>
|
||||
<year>1983</year>
|
||||
<manufacturer>Bally Midway</manufacturer>
|
||||
<category>Action</category>
|
||||
<rbf>MCR2</rbf>
|
||||
<setname>wacko</setname>
|
||||
<rom index="1">
|
||||
<part>3</part>
|
||||
</rom>
|
||||
<rom index="0" zip="wacko.zip" md5="157209d82b536114e346517818a89184" type="merged|nonmerged">
|
||||
<part crc="c98e29b6" name="wackocpu.2d"/>
|
||||
<part crc="90b89774" name="wackocpu.3d"/>
|
||||
<part crc="515edff7" name="wackocpu.4d"/>
|
||||
<part crc="9b01bf32" name="wackocpu.5d"/>
|
||||
<part repeat="16384">00</part>
|
||||
<part crc="1a58763f" name="wackosnd.7a"/>
|
||||
<part crc="a4e3c771" name="wackosnd.8a"/>
|
||||
<part crc="155ba3dd" name="wackosnd.9a"/>
|
||||
<part repeat="4096">00</part>
|
||||
<part crc="33160eb1" name="wackocpu.3g"/>
|
||||
<part crc="daf37d7c" name="wackocpu.4g"/>
|
||||
<part crc="dca59be7" name="wackovid.1e"/>
|
||||
<part crc="a02f1672" name="wackovid.1d"/>
|
||||
<part crc="7d899790" name="wackovid.1b"/>
|
||||
<part crc="080be3ad" name="wackovid.1a"/>
|
||||
</rom>
|
||||
</misterromdescription>
|
||||
@ -17,15 +17,15 @@
|
||||
// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
|
||||
//============================================================================
|
||||
|
||||
module SatansHollow_MiST(
|
||||
output LED,
|
||||
module MCR2_MiST(
|
||||
output LED,
|
||||
output [5:0] VGA_R,
|
||||
output [5:0] VGA_G,
|
||||
output [5:0] VGA_B,
|
||||
output VGA_HS,
|
||||
output VGA_VS,
|
||||
output AUDIO_L,
|
||||
output AUDIO_R,
|
||||
output AUDIO_R,
|
||||
input SPI_SCK,
|
||||
output SPI_DO,
|
||||
input SPI_DI,
|
||||
@ -48,19 +48,15 @@ module SatansHollow_MiST(
|
||||
|
||||
`include "rtl/build_id.v"
|
||||
|
||||
// Uncomment one to choose core name/inputs
|
||||
`define CORE_NAME "SHOLLOW"
|
||||
//`define CORE_NAME "TRON"
|
||||
//`define CORE_NAME "TWOTIGER"
|
||||
//`define CORE_NAME "WACKO"
|
||||
//`define CORE_NAME "KROOZR"
|
||||
//`define CORE_NAME "DOMINO"
|
||||
wire [6:0] core_mod;
|
||||
|
||||
localparam CONF_STR = {
|
||||
`CORE_NAME,";ROM;",
|
||||
"O2,Rotate Controls,Off,On;",
|
||||
"O5,Blend,Off,On;",
|
||||
"O6,Swap Joysticks,Off,On;",
|
||||
"DIP;",
|
||||
"O7,Service,Off,On;",
|
||||
"T0,Reset;",
|
||||
"V,v2.0.",`BUILD_DATE
|
||||
@ -80,49 +76,67 @@ reg [7:0] input_3;
|
||||
reg [7:0] input_4;
|
||||
|
||||
always @(*) begin
|
||||
input_0 = 8'hFF;
|
||||
input_1 = 8'hFF;
|
||||
input_2 = 8'hFF;
|
||||
input_3 = 8'hFF;
|
||||
input_4 = 8'hFF;
|
||||
oneplayer = 1'b1;
|
||||
orientation = 2'b10;
|
||||
|
||||
if (`CORE_NAME == "SHOLLOW") begin
|
||||
case (core_mod)
|
||||
7'h0: // SHOLLOW
|
||||
begin
|
||||
orientation = 2'b11;
|
||||
input_0 = ~{ service, 1'b0, m_tilt, 1'b0, m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = ~{ m_fire2A, m_fire2B, m_right2, m_left2, m_fireA, m_fireB, m_right, m_left };
|
||||
input_2 = 8'hFF;
|
||||
input_3 = ~{ 8'b00000010 };
|
||||
end else if (`CORE_NAME == "TRON") begin
|
||||
end
|
||||
7'h1: // TRON
|
||||
begin
|
||||
orientation = 2'b11;
|
||||
oneplayer = 1'b0;
|
||||
input_0 = ~{ service, 1'b0, m_tilt, m_fireA, m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = ~{ 1'b0, spin_angle2 };
|
||||
input_2 = ~{ m_down, m_up, m_right, m_left, m_down, m_up, m_right, m_left };
|
||||
input_3 = ~{ m_fireA, 7'b00000010 };
|
||||
input_3 = ~{ m_fireA, 4'b0000,/*allow cont*/status[8], 2'b10 };
|
||||
input_4 = ~{ 1'b0, spin_angle2 };
|
||||
end else if (`CORE_NAME == "TWOTIGER") begin
|
||||
end
|
||||
7'h2: // TWOTIGER
|
||||
begin
|
||||
oneplayer = 1'b0;
|
||||
input_0 = ~{ service, 1'b0, m_tilt, m_three_players, m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = ~{ 1'b0, spin_angle1 };
|
||||
input_2 = ~{ 4'b0000, m_fire2B, m_fire2A, m_fireB, m_fireA };
|
||||
input_3 = 8'hFF;
|
||||
input_4 = ~{ 1'b0, spin_angle2 };
|
||||
end else if (`CORE_NAME == "WACKO") begin
|
||||
end
|
||||
7'h3: // WACKO
|
||||
begin
|
||||
input_0 = ~{ service, 1'b0, m_tilt, 1'b0, m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = x_pos[10:3];
|
||||
input_2 = y_pos[10:3];
|
||||
input_3 = ~{ 8'b01000000 };
|
||||
input_4 = ~{ m_up2, m_down2, m_left2, m_right2, m_up, m_down, m_left, m_right };
|
||||
end else if (`CORE_NAME == "KROOZR") begin
|
||||
end
|
||||
7'h4: // KROOZR
|
||||
begin
|
||||
input_0 = ~{ service, 1'b0, m_tilt, m_fireA | mouse_btns[0], m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = ~{ (m_fireB | mouse_btns[1]), spin_angle1[6], 3'b111, spin_angle1[5:3] };
|
||||
input_2 = { x_pos_kroozr[9], x_pos_kroozr[9], x_pos_kroozr[7:2] };
|
||||
input_3 = ~{ 8'b01000000 };
|
||||
input_4 = { y_pos_kroozr[9], y_pos_kroozr[9], y_pos_kroozr[7:2] };
|
||||
end else if (`CORE_NAME == "DOMINO") begin
|
||||
end
|
||||
7'h5: // DOMINO
|
||||
begin
|
||||
input_0 = ~{ service, 1'b0, m_tilt, m_fireA, m_two_players, m_one_player, m_coin2, m_coin1 };
|
||||
input_1 = ~{ 4'b0000, m_down, m_up, m_right, m_left };
|
||||
input_2 = ~{ 3'b000, m_fire2A, m_down2, m_up2, m_right2, m_left2 };
|
||||
input_3 = ~{ 8'b01000000 };
|
||||
input_3 = ~{ 6'b010000,/*skin*/status[9], /*music*/status[8] };
|
||||
end
|
||||
default: ;
|
||||
endcase
|
||||
end
|
||||
|
||||
assign LED = ~ioctl_downl;
|
||||
@ -145,10 +159,7 @@ wire [7:0] joystick_0;
|
||||
wire [7:0] joystick_1;
|
||||
wire scandoublerD;
|
||||
wire ypbpr;
|
||||
wire [15:0] audio_l, audio_r;
|
||||
wire hs, vs, cs;
|
||||
wire blankn;
|
||||
wire [2:0] g, r, b;
|
||||
wire no_csync;
|
||||
wire key_pressed;
|
||||
wire [7:0] key_code;
|
||||
wire key_strobe;
|
||||
@ -157,6 +168,33 @@ wire signed [8:0] mouse_y;
|
||||
wire mouse_strobe;
|
||||
reg [7:0] mouse_flags;
|
||||
|
||||
user_io #(
|
||||
.STRLEN(($size(CONF_STR)>>3)))
|
||||
user_io(
|
||||
.clk_sys (clk_sys ),
|
||||
.conf_str (CONF_STR ),
|
||||
.SPI_CLK (SPI_SCK ),
|
||||
.SPI_SS_IO (CONF_DATA0 ),
|
||||
.SPI_MISO (SPI_DO ),
|
||||
.SPI_MOSI (SPI_DI ),
|
||||
.buttons (buttons ),
|
||||
.switches (switches ),
|
||||
.scandoubler_disable (scandoublerD ),
|
||||
.ypbpr (ypbpr ),
|
||||
.no_csync (no_csync ),
|
||||
.core_mod (core_mod ),
|
||||
.key_strobe (key_strobe ),
|
||||
.key_pressed (key_pressed ),
|
||||
.key_code (key_code ),
|
||||
.mouse_x (mouse_x ),
|
||||
.mouse_y (mouse_y ),
|
||||
.mouse_strobe (mouse_strobe ),
|
||||
.mouse_flags (mouse_flags ),
|
||||
.joystick_0 (joystick_0 ),
|
||||
.joystick_1 (joystick_1 ),
|
||||
.status (status )
|
||||
);
|
||||
|
||||
wire [15:0] rom_addr;
|
||||
wire [15:0] rom_do;
|
||||
wire [13:0] snd_addr;
|
||||
@ -238,6 +276,11 @@ always @(posedge clk_sys) begin
|
||||
reset <= status[0] | buttons[1] | ioctl_downl | ~rom_loaded;
|
||||
end
|
||||
|
||||
wire [15:0] audio_l, audio_r;
|
||||
wire hs, vs, cs;
|
||||
wire blankn;
|
||||
wire [2:0] g, r, b;
|
||||
|
||||
satans_hollow satans_hollow(
|
||||
.clock_40(clk_sys),
|
||||
.reset(reset),
|
||||
@ -271,8 +314,8 @@ satans_hollow satans_hollow(
|
||||
|
||||
wire vs_out;
|
||||
wire hs_out;
|
||||
assign VGA_VS = scandoublerD | vs_out;
|
||||
assign VGA_HS = scandoublerD ? cs : hs_out;
|
||||
assign VGA_HS = ((~no_csync & scandoublerD) || ypbpr)? cs : hs_out;
|
||||
assign VGA_VS = ((~no_csync & scandoublerD) || ypbpr)? 1'b1 : vs_out;
|
||||
|
||||
mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video(
|
||||
.clk_sys ( clk_sys ),
|
||||
@ -298,31 +341,6 @@ mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video(
|
||||
.ypbpr ( ypbpr )
|
||||
);
|
||||
|
||||
user_io #(
|
||||
.STRLEN(($size(CONF_STR)>>3)))
|
||||
user_io(
|
||||
.clk_sys (clk_sys ),
|
||||
.conf_str (CONF_STR ),
|
||||
.SPI_CLK (SPI_SCK ),
|
||||
.SPI_SS_IO (CONF_DATA0 ),
|
||||
.SPI_MISO (SPI_DO ),
|
||||
.SPI_MOSI (SPI_DI ),
|
||||
.buttons (buttons ),
|
||||
.switches (switches ),
|
||||
.scandoubler_disable (scandoublerD ),
|
||||
.ypbpr (ypbpr ),
|
||||
.key_strobe (key_strobe ),
|
||||
.key_pressed (key_pressed ),
|
||||
.key_code (key_code ),
|
||||
.mouse_x (mouse_x ),
|
||||
.mouse_y (mouse_y ),
|
||||
.mouse_strobe (mouse_strobe ),
|
||||
.mouse_flags (mouse_flags ),
|
||||
.joystick_0 (joystick_0 ),
|
||||
.joystick_1 (joystick_1 ),
|
||||
.status (status )
|
||||
);
|
||||
|
||||
dac #(
|
||||
.C_bits(16))
|
||||
dac_l(
|
||||
@ -61,6 +61,8 @@ module sdram (
|
||||
output reg [15:0] snd_q
|
||||
);
|
||||
|
||||
parameter MHZ = 16'd80; // 80 MHz default clock, set it to proper value to calculate refresh rate
|
||||
|
||||
localparam RASCAS_DELAY = 3'd2; // tRCD=20ns -> 2 cycles@<100MHz
|
||||
localparam BURST_LENGTH = 3'b000; // 000=1, 001=2, 010=4, 011=8
|
||||
localparam ACCESS_TYPE = 1'b0; // 0=sequential, 1=interleaved
|
||||
@ -70,8 +72,8 @@ localparam NO_WRITE_BURST = 1'b1; // 0= write burst enabled, 1=only single acc
|
||||
|
||||
localparam MODE = { 3'b000, NO_WRITE_BURST, OP_MODE, CAS_LATENCY, ACCESS_TYPE, BURST_LENGTH};
|
||||
|
||||
// 64ms/8192 rows = 7.8us -> 842 cycles@108MHz
|
||||
localparam RFRSH_CYCLES = 10'd842;
|
||||
// 64ms/8192 rows = 7.8us
|
||||
localparam RFRSH_CYCLES = 16'd78*MHZ/4'd10;
|
||||
|
||||
// ---------------------------------------------------------------------
|
||||
// ------------------------ cycle state machine ------------------------
|
||||
@ -1,15 +0,0 @@
|
||||
#!/bin/sh
|
||||
|
||||
PROJECTS=" \
|
||||
SHOLLOW \
|
||||
TRON \
|
||||
TWOTIGER \
|
||||
WACKO \
|
||||
KROOZR \
|
||||
DOMINO"
|
||||
|
||||
for PROJECT in $PROJECTS; do
|
||||
echo "Compiling $PROJECT"
|
||||
sed -i "s/^.define CORE_NAME.*/\`define CORE_NAME \"$PROJECT\"/" rtl/SatansHollow_MiST.sv
|
||||
quartus_sh --flow compile SatansHollow.qsf && cp output_files/SatansHollow.rbf Releases/$PROJECT.rbf
|
||||
done
|
||||
Loading…
x
Reference in New Issue
Block a user