mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-18 00:52:09 +00:00
Release Moonwar(Berzerk Hardware) and Donkey Kong
This commit is contained in:
parent
e5ce40b124
commit
cfe2811555
Binary file not shown.
Binary file not shown.
@ -379,7 +379,6 @@ port map(
|
||||
clk => clock_10,
|
||||
moveleft => cleft,
|
||||
moveright => cright,
|
||||
btn => fire1 & fire2 & fire3,
|
||||
dailout => dail
|
||||
);
|
||||
|
||||
|
||||
@ -1,33 +1,3 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
|
||||
entity binary_counter is
|
||||
port(
|
||||
C : in std_logic;
|
||||
CLR : in std_logic;
|
||||
Q : out std_logic_vector(3 downto 0)
|
||||
);
|
||||
end binary_counter;
|
||||
|
||||
architecture struct of binary_counter is
|
||||
|
||||
signal tmp: std_logic_vector(3 downto 0);
|
||||
|
||||
begin
|
||||
|
||||
process (C, CLR)begin
|
||||
if (CLR = '1') then
|
||||
tmp <= "0000";
|
||||
elsif (C'event and C='1') then
|
||||
tmp <= tmp + 1;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
Q <= tmp;
|
||||
|
||||
end struct;
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
@ -37,34 +7,25 @@ entity moonwar_dail is
|
||||
port(
|
||||
clk : in std_logic;
|
||||
moveleft : in std_logic;
|
||||
moveright : in std_logic;
|
||||
btn : in std_logic_vector(2 downto 0);
|
||||
moveright : in std_logic;
|
||||
dailout : out std_logic_vector(4 downto 0)
|
||||
);
|
||||
end moonwar_dail;
|
||||
|
||||
architecture struct of moonwar_dail is
|
||||
architecture rtl of moonwar_dail is
|
||||
|
||||
signal count : std_logic_vector(8 downto 0);
|
||||
|
||||
signal direction : std_logic_vector(3 downto 0);
|
||||
signal count : std_logic_vector(3 downto 0);
|
||||
signal count2 : std_logic_vector(4 downto 0);
|
||||
begin
|
||||
|
||||
process (clk)begin
|
||||
if (moveleft = '1') then
|
||||
direction <= "0000";
|
||||
elsif (moveright = '1') then
|
||||
direction <= "1111";
|
||||
process (clk) begin
|
||||
if rising_edge(clk) then
|
||||
if moveleft = '1' or moveright = '1' then
|
||||
count <= count + 1;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
video_gen : entity work.binary_counter
|
||||
port map (
|
||||
C => clk,
|
||||
CLR => not moveleft or not moveright,
|
||||
Q => count
|
||||
);
|
||||
dailout <= moveleft & count(8 downto 5);
|
||||
|
||||
--count2 <= count +
|
||||
dailout <= direction or '0' & count or "00" & btn;
|
||||
end struct;
|
||||
end rtl;
|
||||
|
||||
@ -40,7 +40,7 @@
|
||||
# Project-Wide Assignments
|
||||
# ========================
|
||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
|
||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017"
|
||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
|
||||
|
||||
Binary file not shown.
Binary file not shown.
@ -40,7 +40,7 @@
|
||||
# Project-Wide Assignments
|
||||
# ========================
|
||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
|
||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017"
|
||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
|
||||
|
||||
Binary file not shown.
Binary file not shown.
@ -3,8 +3,6 @@ module dkong_soundboard(
|
||||
input W_CLK_24576M,
|
||||
input W_CLK_12288M,
|
||||
input WB_CLK_06144M,
|
||||
input [15:0] W_CNF_AD,
|
||||
input [7:0] VID_ROM2_DO,
|
||||
input W_W0_WE,
|
||||
input W_W1_WE,
|
||||
input W_CNF_EN,
|
||||
@ -70,13 +68,6 @@ dkong_sound Digtal_sound
|
||||
.I8035_INTn(I8035_INTn),
|
||||
.I8035_T0(I8035_T0),
|
||||
.I8035_T1(I8035_T1),
|
||||
|
||||
// .I_CNF_A(W_CNF_AD[10:0]),
|
||||
// .I_CNF_D(VID_ROM2_DO),
|
||||
// .I_WE0(W_W0_WE),
|
||||
// .I_WE1(W_W1_WE),
|
||||
// .I_CNF_EN(W_CNF_EN),
|
||||
|
||||
.I_SOUND_DAT(W_3D_Q),
|
||||
.I_SOUND_CNT({W_6H_Q[5:3],W_5H_Q}),
|
||||
.O_SOUND_DAT(W_D_S_DAT)
|
||||
|
||||
@ -124,7 +124,7 @@ Aviable Arcade Cores
|
||||
|
||||
#Scramble Hardware
|
||||
Amidar
|
||||
Amored Car
|
||||
Armored Car
|
||||
Calipso
|
||||
Frogger
|
||||
Scramble
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user