1
0
mirror of https://github.com/Gehstock/Mist_FPGA.git synced 2026-01-18 09:02:08 +00:00

Correct Colors for the Player

This commit is contained in:
Marcel 2019-08-31 20:25:32 +02:00
parent 5d851cc298
commit e131d506dc
6 changed files with 5 additions and 6 deletions

View File

@ -2,7 +2,7 @@
Silver Land Port to Mist FPGA by Gehstock
Colors are wrong, missing Schematics
using Patched Palette now!!!
using Patched Palettes now!!!
SPACE / FIRE = Accelerate

View File

@ -1,2 +1,2 @@
`define BUILD_DATE "190831"
`define BUILD_TIME "162534"
`define BUILD_TIME "201529"

View File

@ -620,7 +620,7 @@ port map (
);
-- big sprite palette rom
big_sprite_palette : entity work.silverland_big_sprite_palette
big_sprite_palette : entity work.silverland_big_sprite_palette--PATCHED!!!
port map (
addr => big_sprite_pixel_color_r,
clk => clock_12,

View File

@ -12,7 +12,7 @@ end entity;
architecture prom of silverland_big_sprite_palette is
type rom is array(0 to 31) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"D2",X"F7",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"F7",X"D2",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
begin
process(clk)

View File

@ -11,12 +11,11 @@ end entity;
architecture prom of silverland_palette is
type rom is array(0 to 63) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
signal rom_data: rom := (
X"F6",X"E0",X"07",X"07",X"00",X"F4",X"01",X"01",X"F6",X"C7",X"00",X"00",X"00",X"6F",X"00",X"6F",
X"00",X"3F",X"00",X"3F",X"00",X"A7",X"00",X"A7",X"F6",X"E0",X"FF",X"E0",X"00",X"FF",X"00",X"FF",
X"00",X"00",X"9C",X"7E",X"F6",X"00",X"9C",X"07",X"00",X"00",X"00",X"00",X"00",X"FF",X"BE",X"00",
X"00",X"00",X"FF",X"07",X"00",X"87",X"87",X"00",X"F6",X"38",X"A7",X"1A",X"00",X"FA",X"FA",X"07");
begin
process(clk)
begin