mirror of
https://github.com/olofk/serv.git
synced 2026-01-27 04:02:00 +00:00
servant: add AC701 board support
This commit is contained in:
committed by
Olof Kindgren
parent
ed9b774eba
commit
7192fb23e0
11
data/ac701.xdc
Normal file
11
data/ac701.xdc
Normal file
@@ -0,0 +1,11 @@
|
||||
## Clock signal
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_p]
|
||||
set_property PACKAGE_PIN P3 [get_ports sys_clk_n]
|
||||
set_property PACKAGE_PIN R3 [get_ports sys_clk_p]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_n]
|
||||
|
||||
create_clock -period 5.000 -name clk_p [get_nets sys_clk_p]
|
||||
|
||||
## UART TX
|
||||
set_property PACKAGE_PIN U19 [get_ports q]
|
||||
set_property IOSTANDARD LVCMOS18 [get_ports q]
|
||||
Reference in New Issue
Block a user