mirror of
https://github.com/Gehstock/Mist_FPGA.git
synced 2026-01-18 00:52:09 +00:00
Delete unused sound.vhd
This commit is contained in:
parent
d543ba623f
commit
906e258635
@ -1,4 +0,0 @@
|
||||
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
|
||||
set_global_assignment -name IP_TOOL_VERSION "13.1"
|
||||
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"]
|
||||
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]
|
||||
@ -1,46 +0,0 @@
|
||||
--------------------------------------------------------------------------------
|
||||
-- SubModule Sound
|
||||
-- Created 18/08/2005 6:39:14 PM
|
||||
--------------------------------------------------------------------------------
|
||||
Library IEEE;
|
||||
Use IEEE.Std_Logic_1164.all;
|
||||
|
||||
entity Sound is
|
||||
generic
|
||||
(
|
||||
CLK_MHz : natural := 0
|
||||
);
|
||||
port
|
||||
(
|
||||
sysClk : in std_logic;
|
||||
reset : in std_logic;
|
||||
|
||||
sndif_rd : in std_logic;
|
||||
sndif_wr : in std_logic;
|
||||
sndif_datai : in std_logic_vector(7 downto 0);
|
||||
sndif_addr : in std_logic_vector(15 downto 0);
|
||||
|
||||
snd_clk : out std_logic;
|
||||
snd_data_l : out std_logic_vector(7 downto 0);
|
||||
snd_data_r : out std_logic_vector(7 downto 0);
|
||||
sndif_datao : out std_logic_vector(7 downto 0)
|
||||
);
|
||||
end entity Sound;
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
architecture Structure of Sound is
|
||||
|
||||
-- Component Declarations
|
||||
|
||||
-- Signal Declarations
|
||||
|
||||
begin
|
||||
|
||||
snd_clk <= '0';
|
||||
snd_data_l <= X"00";
|
||||
snd_data_r <= X"00";
|
||||
sndif_datao <= X"00";
|
||||
|
||||
end Structure;
|
||||
--------------------------------------------------------------------------------
|
||||
Loading…
x
Reference in New Issue
Block a user